HOME

TheInfoList



OR:

A photomask (also simply called a mask) is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in
photolithography Photolithography (also known as optical lithography) is a process used in the manufacturing of integrated circuits. It involves using light to transfer a pattern onto a substrate, typically a silicon wafer. The process begins with a photosensiti ...
for the production of
integrated circuits An integrated circuit (IC), also known as a microchip or simply chip, is a set of electronic circuits, consisting of various electronic components (such as transistors, resistors, and capacitors) and their interconnections. These components a ...
(ICs or "chips") to produce a pattern on a thin
wafer A wafer is a crisp, often sweet, very thin, flat, light biscuit, often used to decorate ice cream, and also used as a garnish on some sweet dishes. They frequently have a waffle surface pattern but may also be patterned with insignia of the foo ...
of material (usually
silicon Silicon is a chemical element; it has symbol Si and atomic number 14. It is a hard, brittle crystalline solid with a blue-grey metallic lustre, and is a tetravalent metalloid (sometimes considered a non-metal) and semiconductor. It is a membe ...
). In semiconductor manufacturing, a mask is sometimes called a reticle. In photolithography, several masks are used in turn, each one reproducing a layer of the completed design, and together known as a mask set. A curvilinear photomask has patterns with curves, which is a departure from conventional photomasks which only have patterns that are completely vertical or horizontal, known as manhattan geometry. These photomasks require special equipment to manufacture.


History

For IC production in the 1960s and early 1970s, an opaque
rubylith Rubylith is a brand of Photomask, masking film, invented and trademarked by the Ulano Corporation. Today the brand has become Genericized trademark, genericized to the point that it has become synonymous with all coloured masking films. Rubylith ...
film laminated onto a transparent
mylar BoPET (biaxially oriented polyethylene terephthalate) is a polyester film made from stretched polyethylene terephthalate (PET) and is used for its high tensile strength, chemical stability, dimensional stability, transparency reflectivity, an ...
sheet was used. The design of one layer was cut into the rubylith, initially by hand on an illuminated
drafting table A drawing board (also drawing table, drafting table or architect's table) is, in its antique form, a kind of multipurpose desk which can be used for any kind of drawing, writing or impromptu sketching on a large sheet of paper or for reading a la ...
(later by machine (
plotter A plotter is a machine that produces vector graphics drawings. Plotters draw lines on paper using a pen, or in some applications, use a knife to cut a material like Polyvinyl chloride, vinyl or leather. In the latter case, they are sometimes k ...
)) and the unwanted rubylith was peeled off by hand, forming the master image of that layer of the chip, often called "artwork". Increasingly complex and thus larger chips required larger and larger rubyliths, eventually even filling the wall of a room, and artworks were to be photographically reduced to produce photomasks (Eventually this whole process was replaced by the optical pattern generator to produce the master image). At this point the master image could be arrayed into a multi-chip image called a ''reticle''. The reticle was originally a 10X larger image of a single chip. The reticle was, by step-and-repeater photolithography and etching, used to produce a photomask with an image size the same as the final chip. The photomask might be used directly in the fab or be used as a master-photomask to produce the final actual working photomasks. As feature size shrank, the only way to properly focus the image was to place it in direct contact with the wafer. These contact aligners often lifted some of the
photoresist A photoresist (also known simply as a resist) is a light-sensitive material used in several processes, such as photolithography and photoengraving, to form a patterned coating on a surface. This process is crucial in the electronics industry. T ...
off the wafer and onto the photomask and it had to be cleaned or discarded. This drove the adoption of reverse master photomasks (see above), which were used to produce (with contact photolithography and etching) the needed many actual working photomasks. Later, projection photo-lithography meant photomask lifetime was indefinite. Still later direct-step-on-wafer ''stepper'' photo-lithography used reticles directly and ended the use of photomasks. Photomask materials changed over time. Initially
soda glass Soda or SODA may refer to: *Soft drink, a sweetened, carbonated, and usually flavored drink Chemistry * Some chemical compounds containing sodium ** Sodium carbonate, washing soda or soda ash ** Sodium bicarbonate, baking soda ** Sodium hyd ...
was used with
silver halide A silver halide (or silver salt) is one of the chemical compounds that can form between the Chemical element, element silver (Ag) and one of the halogens. In particular, bromine (Br), chlorine (Cl), iodine (I) and fluorine (F) may each combine wit ...
opacity. Later
borosilicate Borosilicate glass is a type of glass with silicon dioxide, silica and boron trioxide as the main glass-forming constituents. Borosilicate glasses are known for having very low coefficient of thermal expansion, coefficients of thermal expansion ( ...
and then
fused silica Fused quartz, fused silica or quartz glass is a glass consisting of almost pure silica (silicon dioxide, SiO2) in amorphous (non-crystalline) form. This differs from all other commercial glasses, such as soda-lime glass, lead glass, or borosil ...
to control expansion, and
chromium Chromium is a chemical element; it has Symbol (chemistry), symbol Cr and atomic number 24. It is the first element in Group 6 element, group 6. It is a steely-grey, Luster (mineralogy), lustrous, hard, and brittle transition metal. Chromium ...
which has better opacity to
ultraviolet light Ultraviolet radiation, also known as simply UV, is electromagnetic radiation of wavelengths of 10–400 nanometers, shorter than that of visible light, but longer than X-rays. UV radiation is present in sunlight and constitutes about 10% of th ...
were introduced. The original pattern generators have since been replaced by
electron beam lithography Electron-beam lithography (often abbreviated as e-beam lithography or EBL) is the practice of scanning a focused beam of electrons to draw custom shapes on a surface covered with an electron-sensitive film called a resist (exposing). The electron ...
and
laser A laser is a device that emits light through a process of optical amplification based on the stimulated emission of electromagnetic radiation. The word ''laser'' originated as an acronym for light amplification by stimulated emission of radi ...
-driven mask writer or
maskless lithography Maskless lithography (MPL) is a photomask-less photolithography-like technology used to project or focal-spot write the image pattern onto a chemical resist-coated substrate (e.g. wafer) by means of UV radiation or electron beam. In microlithog ...
systems which generate reticles directly from the original computerized design.


Overview

Lithographic photomasks are typically transparent
fused silica Fused quartz, fused silica or quartz glass is a glass consisting of almost pure silica (silicon dioxide, SiO2) in amorphous (non-crystalline) form. This differs from all other commercial glasses, such as soda-lime glass, lead glass, or borosil ...
plates covered with a pattern defined with a
chromium Chromium is a chemical element; it has Symbol (chemistry), symbol Cr and atomic number 24. It is the first element in Group 6 element, group 6. It is a steely-grey, Luster (mineralogy), lustrous, hard, and brittle transition metal. Chromium ...
(Cr) or Fe2O3 metal absorbing film. Photomasks are used at wavelengths of 365 nm, 248 nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm, 13.5 nm ( EUV),
X-ray An X-ray (also known in many languages as Röntgen radiation) is a form of high-energy electromagnetic radiation with a wavelength shorter than those of ultraviolet rays and longer than those of gamma rays. Roughly, X-rays have a wavelength ran ...
,
electrons The electron (, or in nuclear reactions) is a subatomic particle with a negative one elementary charge, elementary electric charge. It is a fundamental particle that comprises the ordinary matter that makes up the universe, along with up qua ...
, and
ions An ion () is an atom or molecule with a net electrical charge. The charge of an electron is considered to be negative by convention and this charge is equal and opposite to the charge of a proton, which is considered to be positive by convent ...
; but these require entirely new materials for the substrate and the pattern film. A set of photomasks, each defining a pattern layer in integrated circuit fabrication, is fed into a photolithography
stepper A stepper or wafer stepper is a device used in the manufacture of integrated circuits (ICs). It is an essential part of the process of photolithography, which creates millions of microscopic circuit elements on the surface of silicon wafers o ...
or scanner, and individually selected for exposure. In multi-patterning techniques, a photomask would correspond to a subset of the layer pattern. Historically in photolithography for the
mass production Mass production, also known as mass production, series production, series manufacture, or continuous production, is the production of substantial amounts of standardized products in a constant flow, including and especially on assembly lines ...
of
integrated circuit An integrated circuit (IC), also known as a microchip or simply chip, is a set of electronic circuits, consisting of various electronic components (such as transistors, resistors, and capacitors) and their interconnections. These components a ...
devices, there was a distinction between the term photoreticle or simply reticle, and the term photomask. In the case of a photomask, there is a one-to-one correspondence between the mask pattern and the wafer pattern. The mask covered the entire surface of the wafer which was exposed in its entirety in one shot. This was the standard for the 1:1 mask aligners that were succeeded by
stepper A stepper or wafer stepper is a device used in the manufacture of integrated circuits (ICs). It is an essential part of the process of photolithography, which creates millions of microscopic circuit elements on the surface of silicon wafers o ...
s and scanners with reduction optics. As used in steppers and scanners which use image projection, the reticle commonly contains only one copy, also called one layer of the designed VLSI circuit. (However, some photolithography fabrications utilize reticles with more than one layer placed side by side onto the same mask, used as copies to create several identical integrated circuits from one photomask). In modern usage, the terms reticle and photomask are synonymous. In a modern stepper or scanner, the pattern in the photomask is projected and shrunk by four or five times onto the wafer surface. To achieve complete wafer coverage, the wafer is repeatedly " stepped" from position to position under the optical column or the stepper lens until full exposure of the wafer is achieved. A photomask with several copies of the integrated circuit design is used to reduce the number of steppings required to expose the entire wafer, thus increasing productivity.
Features Feature may refer to: Computing * Feature recognition, could be a hole, pocket, or notch * Feature (computer vision), could be an edge, corner or blob * Feature (machine learning), in statistics: individual measurable properties of the phenome ...
150 nm or below in size generally require phase-shifting to enhance the image quality to acceptable values. This can be achieved in many ways. The two most common methods are to use an attenuated phase-shifting background film on the mask to increase the contrast of small intensity peaks, or to etch the exposed
quartz Quartz is a hard, crystalline mineral composed of silica (silicon dioxide). The Atom, atoms are linked in a continuous framework of SiO4 silicon–oxygen Tetrahedral molecular geometry, tetrahedra, with each oxygen being shared between two tet ...
so that the edge between the etched and unetched areas can be used to image nearly zero intensity. In the second case, unwanted edges would need to be trimmed out with another exposure. The former method is ''attenuated phase-shifting'', and is often considered a weak enhancement, requiring special illumination for the most enhancement, while the latter method is known as ''alternating-aperture phase-shifting'', and is the most popular strong enhancement technique. As leading-edge
semiconductor A semiconductor is a material with electrical conductivity between that of a conductor and an insulator. Its conductivity can be modified by adding impurities (" doping") to its crystal structure. When two regions with different doping level ...
features shrink, photomask features that are 4× larger must inevitably shrink as well. This could pose challenges since the absorber film will need to become thinner, and hence less opaque.Y. Sato et al., ''Proc. SPIE'', vol. 4889, pp. 50-58 (2002). A 2005 study by
IMEC Interuniversity Microelectronics Centre (IMEC; officially stylised as imec) is an international Research and development, research & development organization, active in the fields of nanoelectronics and Digital electronics, digital technologies ...
found that thinner absorbers degrade image contrast and therefore contribute to line-edge roughness, using state-of-the-art photolithography tools.M. Yoshizawa et al., ''Proc. SPIE'', vol. 5853, pp. 243-251 (2005) One possibility is to eliminate absorbers altogether and use "chromeless" masks, relying solely on phase-shifting for imaging. The emergence of
immersion lithography Immersion lithography is a technique used in semiconductor manufacturing to enhance the resolution and accuracy of the lithographic process. It involves using a liquid medium, typically water, between the lens and the wafer during exposure. By ...
has a strong impact on photomask requirements. The commonly used attenuated phase-shifting mask is more sensitive to the higher incidence angles applied in "hyper-NA" lithography, due to the longer optical path through the patterned film.C. A. Mack et al., ''Proc. SPIE'', vol. 5992, pp. 306-316 (2005) During manufacturing, inspection using a special form of microscopy called CD-SEM (Critical-Dimension Scanning Electron Microscopy) is used to measure critical dimensions on photomasks which are the dimensions of the patterns on a photomask.


EUV lithography

EUV photomasks work by reflecting light, which is achieved by using multiple alternating layers of
molybdenum Molybdenum is a chemical element; it has Symbol (chemistry), symbol Mo (from Neo-Latin ''molybdaenum'') and atomic number 42. The name derived from Ancient Greek ', meaning lead, since its ores were confused with lead ores. Molybdenum minerals hav ...
and
silicon Silicon is a chemical element; it has symbol Si and atomic number 14. It is a hard, brittle crystalline solid with a blue-grey metallic lustre, and is a tetravalent metalloid (sometimes considered a non-metal) and semiconductor. It is a membe ...
.


Mask error enhancement factor (MEEF)

Leading-edge photomasks (pre-corrected) images of the final chip patterns are magnified by four times. This magnification factor has been a key benefit in reducing pattern sensitivity to imaging errors. However, as features continue to shrink, two trends come into play: the first is that the mask error factor begins to exceed one, i.e., the dimension error on the wafer may be more than 1/4 the dimension error on the mask, and the second is that the mask feature is becoming smaller, and the dimension tolerance is approaching a few nanometers. For example, a 25 nm wafer pattern should correspond to a 100 nm mask pattern, but the wafer tolerance could be 1.25 nm (5% spec), which translates into 5 nm on the photomask. The variation of electron beam scattering in directly writing the photomask pattern can easily well exceed this.


Pellicles

The term "pellicle" is used to mean "film", "thin film", or "membrane." Beginning in the 1960s, thin film stretched on a metal frame, also known as a "pellicle", was used as a beam splitter for optical instruments. It has been used in a number of instruments to split a beam of light without causing an optical path shift due to its small film thickness. In 1978, Shea et al. at IBM patented a process to use the "pellicle" as a dust cover to protect a photomask or reticle. In the context of this entry, "pellicle" means "thin film dust cover to protect a photomask". Particle contamination can be a significant problem in semiconductor manufacturing. A photomask is protected from particles by a pelliclea thin transparent film stretched over a frame that is glued over one side of the photomask. The pellicle is far enough away from the mask patterns so that moderate-to-small sized particles that land on the pellicle will be too far out of focus to print. Although they are designed to keep particles away, pellicles become a part of the imaging system and their optical properties need to be taken into account. Pellicles material are nitrocellulose and made for various transmission wavelengths. Current pellicles are made from polysilicon, and companies are exploring other materials for high-NA EUV, such as CNT (carbon nanotubes), and future chip making processes.


Leading commercial photomask manufacturers

The
SPIE SPIE (formerly the Society of Photographic Instrumentation Engineers, later the Society of Photo-Optical Instrumentation Engineers) is an international not-for-profit professional society for optics and photonics technology, founded in 1955. It ...
Annual Conference, Photomask Technology reports the
SEMATECH SEMATECH (from Semiconductor Manufacturing Technology) was a not-for-profit consortium that performed research and development to advance chip manufacturing. SEMATECH involved collaboration between various sectors of the R&D community, includin ...
Mask Industry Assessment which includes current industry analysis and the results of their annual photomask manufacturers survey. The following companies are listed in order of their global market share (2009 info): * Dai Nippon Printing *
Toppan is a Japanese global printing company. Toppan was founded in 1900 and is headquartered in Tokyo. History As of March 2013, the company has 169 subsidiary and affiliate (commerce), affiliate companies. Toppan is listed on the Tokyo Stock Exch ...
Photomasks (now Tekscend) *
Photronics Inc Photronics, Inc. is an American semiconductor photomask A photomask (also simply called a mask) is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in photolithography f ...
*
Hoya Corporation is a Japanese company manufacturing optical products such as photomasks, photomask blanks and hard disk drive platters, contact lenses and eyeglass lenses for the health-care market, medical photonics, lasers, photographic filters, medical fl ...
* Taiwan Mask Corporation * Compugraphics Major chipmakers such as
Intel Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California, and Delaware General Corporation Law, incorporated in Delaware. Intel designs, manufactures, and sells computer compo ...
,
Globalfoundries GlobalFoundries Inc. is a multinational semiconductor contract manufacturing and design company located in the Cayman Islands and headquartered in Malta, New York. Created by the divestiture of the manufacturing arm of AMD in March 2009, the ...
,
IBM International Business Machines Corporation (using the trademark IBM), nicknamed Big Blue, is an American Multinational corporation, multinational technology company headquartered in Armonk, New York, and present in over 175 countries. It is ...
,
NEC is a Japanese multinational information technology and electronics corporation, headquartered at the NEC Supertower in Minato, Tokyo, Japan. It provides IT and network solutions, including cloud computing, artificial intelligence (AI), Inte ...
,
TSMC Taiwan Semiconductor Manufacturing Company Limited (TSMC or Taiwan Semiconductor) is a Taiwanese multinational semiconductor contract manufacturing and design company. It is one of the world's most valuable semiconductor companies, the world' ...
, UMC,
Samsung Samsung Group (; stylised as SΛMSUNG) is a South Korean Multinational corporation, multinational manufacturing Conglomerate (company), conglomerate headquartered in the Samsung Town office complex in Seoul. The group consists of numerous a ...
, and
Micron Technology Micron Technology, Inc. is an American producer of computer memory and computer data storage including dynamic random-access memory, flash memory, and solid-state drives (SSDs). It is headquartered in Boise, Idaho. Micron's consumer produc ...
, have their own large maskmaking facilities or
joint venture A joint venture (JV) is a business entity created by two or more parties, generally characterized by shared ownership, shared returns and risks, and shared governance. Companies typically pursue joint ventures for one of four reasons: to acce ...
s with the abovementioned companies. The worldwide photomask market was estimated as $3.2 billion in 2012 and $3.1 billion in 2013. Almost half of the market was from captive mask shops (in-house mask shops of major chipmakers). The costs of creating new mask shop for 180 nm processes were estimated in 2005 as $40 million, and for 130 nm - more than $100 million. The purchase price of a photomask, in 2006, could range from $250 to $100,000 doi:10.1109/TSM.2006.883577; page 23 table 1 for a single high-end phase-shift mask. As many as 30 masks (of varying price) may be required to form a complete mask set. As modern chips are built in several layers stacked on top of each other, at least one mask is required for each of these layers.


See also

*
Computational lithography A computation is any type of arithmetic or non-arithmetic calculation that is well-defined. Common examples of computation are mathematical equation solving and the execution of computer algorithms. Mechanical or electronic devices (or, historic ...
*
Integrated circuit layout design protection Layout designs (topographies) of integrated circuits are a field in the protection of intellectual property. In United States intellectual property law, a "mask work" is a two or three-dimensional layout or topography of an integrated circuit ...
(or "Mask work") * Mask inspection * Nanochannel glass materials * SMIF interface *
Stepping level In integrated circuits, the stepping level or revision level is a version number that refers to the introduction or revision of one or more photolithographic photomasks within the set of photomasks that is used to pattern an integrated circuit. ...


References

{{Authority control Lithography (microfabrication) Semiconductor fabrication equipment