HOME
*





ASML Holding
ASML Holding N.V. (commonly shortened to ASML, originally standing for Advanced Semiconductor Materials Lithography) is a Dutch multinational corporation founded in 1984. ASML specializes in the development and manufacturing of photolithography machines which are used to produce computer chips. it is the largest supplier for the semiconductor industry and the sole supplier in the world of extreme ultraviolet lithography (EUV) photolithography machines used to manufacture the most advanced chips. As of 2022, ASML was the most highly valued European tech company by market capitalization with about $200 billion. Products ASML produces the photolithography machines used in the production of computer chips. In these machines, patterns are optically imaged onto a silicon wafer that is covered with a film of light-sensitive material ( photoresist). This procedure is repeated dozens of times on a single wafer. The photoresist is then further processed to create the actual electroni ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

Veldhoven
Veldhoven () is a municipality and town on the Gender in the southern Netherlands, just southwest of Eindhoven. Topography Dutch topographic map of Veldhoven (town), Dec. 2013 Population centres The modern town of Veldhoven is an agglomeration of formerly rural villages that in the twentieth century grew together to form one large suburban area catering to Eindhoven commuter needs. The villages are Veldhoven proper to the southwest, Meerveldhoven to the southeast, Oerle to the west and Zeelst to the northeast. A new city center was constructed between Zeelst and Veldhoven. Economy Veldhoven is home to the headquarters of the manufacturer of high-tech (semiconductor) lithography equipment ASML. As of 2022, the company employs over 14,000 people—equivalent to nearly one third of the town's population. ASML occupies the tallest building (83 meters) in Veldhoven. The municipality features a large industrial and office area along the Gender, which also includes the second- ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

Wafer (electronics)
In electronics, a wafer (also called a slice or substrate) is a thin slice of semiconductor, such as a crystalline silicon (c-Si), used for the fabrication of integrated circuits and, in photovoltaics, to manufacture solar cells. The wafer serves as the substrate for microelectronic devices built in and upon the wafer. It undergoes many microfabrication processes, such as doping, ion implantation, etching, thin-film deposition of various materials, and photolithographic patterning. Finally, the individual microcircuits are separated by wafer dicing and packaged as an integrated circuit. History In the semiconductor or silicon wafer industry, the term wafer appeared in the 1950s to describe a thin round slice of semiconductor material, typically germanium or silicon. Round shape comes from single-crystal ingots usually produced using the Czochralski method. Silicon wafers were first introduced in the 1940s. By 1960, silicon wafers were being manufactured in the U. ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

TSMC
Taiwan Semiconductor Manufacturing Company Limited (TSMC; also called Taiwan Semiconductor) is a Taiwanese multinational semiconductor contract manufacturing and design company. It is the world's most valuable semiconductor company, the world's largest dedicated independent ( pure-play) semiconductor foundry, and one of Taiwan's largest companies, with its headquarters and main operations located in the Hsinchu Science Park in Hsinchu. It is majority owned by foreign investors. Founded in Taiwan in 1987 by Morris Chang, TSMC was the world's first dedicated semiconductor foundry and has long been the leading company in its field. When Chang retired in 2018, after 31 years of TSMC leadership, Mark Liu became chairman and C. C. Wei became Chief Executive. It has been listed on the Taiwan Stock Exchange (TWSE: 2330) since 1993; in 1997 it became the first Taiwanese company to be listed on the New York Stock Exchange (NYSE: TSM). Since 1994, TSMC has had a compound annual growth ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

Semiconductor Node
A semiconductor is a material which has an electrical conductivity value falling between that of a conductor, such as copper, and an insulator, such as glass. Its resistivity falls as its temperature rises; metals behave in the opposite way. Its conducting properties may be altered in useful ways by introducing impurities (" doping") into the crystal structure. When two differently doped regions exist in the same crystal, a semiconductor junction is created. The behavior of charge carriers, which include electrons, ions, and electron holes, at these junctions is the basis of diodes, transistors, and most modern electronics. Some examples of semiconductors are silicon, germanium, gallium arsenide, and elements near the so-called "metalloid staircase" on the periodic table. After silicon, gallium arsenide is the second-most common semiconductor and is used in laser diodes, solar cells, microwave-frequency integrated circuits, and others. Silicon is a critical element for fabrica ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


90 Nanometer
The 90  nm process refers to the level of MOSFET (CMOS) fabrication process technology that was commercialized by the 2003–2005 timeframe, by leading semiconductor companies like Toshiba, Sony, Samsung, IBM, Intel, Fujitsu, TSMC, Elpida, AMD, Infineon, Texas Instruments and Micron Technology. The origin of the 90 nm value is historical, it reflects a trend of 70% scaling every 2–3 years. The naming is formally determined by the International Technology Roadmap for Semiconductors (ITRS). The 193 nm wavelength was introduced by many (but not all) companies for lithography of critical layers mainly during the 90 nm node. Yield issues associated with this transition (due to the use of new photoresists) were reflected in the high costs associated with this transition. Even more significantly, the 300 mm wafer size became mainstream at the 90 nm node. The previous wafer size was 200 mm diameter. History A 90nm silicon MOSFET was fabr ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

Taiwan Semiconductor Manufacturing
Taiwan Semiconductor Manufacturing Company Limited (TSMC; also called Taiwan Semiconductor) is a Taiwanese multinational semiconductor contract manufacturing and design company. It is the world's most valuable semiconductor company, the world's largest dedicated independent ( pure-play) semiconductor foundry, and one of Taiwan's largest companies, with its headquarters and main operations located in the Hsinchu Science Park in Hsinchu. It is majority owned by foreign investors. Founded in Taiwan in 1987 by Morris Chang, TSMC was the world's first dedicated semiconductor foundry and has long been the leading company in its field. When Chang retired in 2018, after 31 years of TSMC leadership, Mark Liu became chairman and C. C. Wei became Chief Executive. It has been listed on the Taiwan Stock Exchange (TWSE: 2330) since 1993; in 1997 it became the first Taiwanese company to be listed on the New York Stock Exchange (NYSE: TSM). Since 1994, TSMC has had a compound annual growth rat ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


Burn-Jeng Lin
Burn-Jeng Lin (; born 1942) is a Taiwanese engineer. Education Lin earned his doctorate from Ohio State University in 1970. Career While working for IBM, Lin became the first to propose immersion lithography, a technique that became viable in the 1980s. Lin left IBM to found his own company, Linnovation, Inc., in 1992. He began working for TSMC in 2000. Lin was named an IEEE Fellow in 2003, and granted an equivalent honor by the SPIE. The next year, SPIE gave Lin the inaugural Frits Zernike Award. In 2008, Lin was elected to membership of the United States National Academy of Engineering "for technical innovations and leadership in the development of lithography for semiconductor manufacturing." Lin received the IEEE Cledo Brunetti Award and IEEE Jun-ichi Nishizawa Medal in 2009 and 2013 respectively. In 2014, Lin was named a member of Academia Sinica. Upon his retirement from TSMC, he was offered a position on the faculty of National Tsing Hua University National Tsi ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

Immersion Lithography
Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits (ICs) that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive index greater than one. The resolution is increased by a factor equal to the refractive index of the liquid. Current immersion lithography tools use highly purified water for this liquid, achieving feature sizes below 45 nanometers. ASML and Nikon are currently the only manufacturers of immersion lithography systems. History The idea for immersion lithography was patented in 1984 by Takanashi et al. It was also proposed by Taiwanese engineer Burn J. Lin and realized in the 1980s. In 2004, IBM's director of silicon technology, Ghavam Shahidi, announced that IBM planned to commercialize lithography based on light filtered through water. Immersion lithography is now being extended to sub- 20nm nodes through the use of multiple patterning. B ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


Cadence Design Systems
Cadence Design Systems, Inc. (stylized as cādence), headquartered in San Jose, California, is an American multinational computational software company, founded in 1988 by the merger of SDA Systems and ECAD, Inc. The company produces software, hardware and silicon structures for designing integrated circuits, systems on chips (SoCs) and printed circuit boards. History Origins Cadence Design Systems began as an electronic design automation (EDA) company, formed by the 1988 merger of Solomon Design Automation (SDA), co-founded in 1983 by Richard Newton, Alberto Sangiovanni-Vincentelli and James Solomon, and ECAD, a public company co-founded by Ping Chao, Glen Antle and Paul Huang in 1982. SDA's CEO Joseph Costello was appointed as CEO of the newly combined company. Executive leadership Following the resignation of Cadence's original CEO Joe Costello in 1997, Jack Harding was appointed CEO. Ray Bingham was named CEO in 1999. In 2004, Mike Fister became Cadence's new CEO. ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  




Lam Research
Lam Research Corporation is an American supplier of wafer fabrication equipment and related services to the semiconductor industry. Its products are used primarily in front-end wafer processing, which involves the steps that create the active components of semiconductor devices (transistors, capacitors) and their wiring (interconnects). The company also builds equipment for back-end wafer-level packaging (WLP) and for related manufacturing markets such as for microelectromechanical systems (MEMS). Lam Research was founded in 1980 by Dr. David K. Lam and is headquartered in Fremont, California, in the Silicon Valley. As of 2018, it was the second largest manufacturer in the Bay Area, after Tesla. History Lam Research was founded in 1980 by David K. Lam, a Chinese-born engineer who had previously worked at Xerox, Hewlett-Packard, and Texas Instruments. It was while he was at Hewlett Packard that he saw the need for better plasma etching equipment, to keep up with the rapid m ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


MKS Instruments
MKS Instruments, Inc. is an American process control instrumentation company. It is headquartered in Andover, Massachusetts. History MKS Instruments was founded in 1961 as a provider of products and technologies used to measure, control, power, and monitor critical process parameters of advanced manufacturing processes. In 2001, the company acquired Emerson Electric's ENI Division which makes solid-state RF and DC plasma power supplies, networks and instruments. In April 2014, Brooks Automation entered into an agreement to sell its Granville-Phillips division to MKS Instruments. MKS Instruments more than doubled their addressable market by acquiring the Newport Corporation and its subsidiaries, including Ophir Optronics, for $980 million ($1.2 billion inflation-adjusted) in a 2016 leveraged buyout. It acquired Electro Scientific Industries in 2019 and Atotech in 2021. In 2021, MKS Instruments had about 5,400 employees and a market capitalization of about $11 billion. As ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

Nikon
(, ; ), also known just as Nikon, is a Japanese multinational corporation headquartered in Tokyo, Japan, specializing in optics and imaging products. The companies held by Nikon form the Nikon Group. Nikon's products include cameras, camera lenses, binoculars, microscopes, ophthalmic lenses, measurement instruments, rifle scopes, spotting scopes, and the steppers used in the photolithography steps of semiconductor fabrication, of which it is the world's second largest manufacturer. The company is the eighth-largest chip equipment maker as reported in 2017. Also, it has diversified into new areas like 3D printing and regenerative medicine to compensate for the shrinking digital camera market. Among Nikon's many notable product lines are Nikkor imaging lenses (for F-mount cameras, large format photography, photographic enlargers, and other applications), the Nikon F-series of 35 mm film SLR cameras, the Nikon D-series of digital SLR cameras, the Nikon Z-series of digital mi ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]