Stack machine
   HOME

TheInfoList



OR:

In
computer science Computer science is the study of computation, automation, and information. Computer science spans theoretical disciplines (such as algorithms, theory of computation, information theory, and automation) to practical disciplines (includi ...
, computer engineering and
programming language implementation In computer programming, a programming language implementation is a system for executing computer programs. There are two general approaches to programming language implementation: *''Interpretation:'' The program is read as input by an interprete ...
s, a stack machine is a
computer processor In computing and computer science, a processor or processing unit is an electrical component (digital circuit) that performs operations on an external data source, usually memory or some other data stream. It typically takes the form of a micropr ...
or a
virtual machine In computing, a virtual machine (VM) is the virtualization/ emulation of a computer system. Virtual machines are based on computer architectures and provide functionality of a physical computer. Their implementations may involve specialized h ...
in which the primary interaction is moving short-lived temporary values to and from a push down stack. In the case of a hardware processor, a
hardware stack In computer science, a stack is an abstract data type that serves as a collection of elements, with two main operations: * Push, which adds an element to the collection, and * Pop, which removes the most recently added element that was not ...
is used. The use of a stack significantly reduces the required number of processor registers. Stack machines extend
push-down automata In the theory of computation, a branch of theoretical computer science, a pushdown automaton (PDA) is a type of automaton that employs a stack. Pushdown automata are used in theories about what can be computed by machines. They are more capab ...
with additional load/store operations or multiple stacks and hence are
Turing-complete In computability theory, a system of data-manipulation rules (such as a computer's instruction set, a programming language, or a cellular automaton) is said to be Turing-complete or computationally universal if it can be used to simulate any ...
.


Design

Most or all stack machine instructions assume that operands will be from the stack, and results placed in the stack. The stack easily holds more than two inputs or more than one result, so a rich set of operations can be computed. In stack machine code (sometimes called
p-code Bytecode (also called portable code or p-code) is a form of instruction set designed for efficient execution by a software Interpreter (computing), interpreter. Unlike Human-readable code, human-readable source code, bytecodes are compact nume ...
), instructions will frequently have only an opcode commanding an operation, with no additional fields identifying a constant, register or memory cell, known as a zero address format. This greatly simplifies instruction decoding. Branches, load immediates, and load/store instructions require an argument field, but stack machines often arrange that the frequent cases of these still fit together with the opcode into a compact group of bits. The selection of operands from prior results is done implicitly by ordering the instructions. Some stack machine instruction sets are intended for interpretive execution of a virtual machine, rather than driving hardware directly. Integer constant operands are pushed by or instructions. Memory is often accessed by separate or instructions containing a memory address or calculating the address from values in the stack. All practical stack machines have variants of the load–store opcodes for accessing
local variable In computer science, a local variable is a variable that is given ''local scope''. A local variable reference in the function or block in which it is declared overrides the same variable name in the larger scope. In programming languages with o ...
s and formal parameters without explicit address calculations. This can be by offsets from the current top-of-stack address, or by offsets from a stable frame-base register. The instruction set carries out most ALU actions with postfix (
reverse Polish notation Reverse Polish notation (RPN), also known as reverse Łukasiewicz notation, Polish postfix notation or simply postfix notation, is a mathematical notation in which operators ''follow'' their operands, in contrast to Polish notation (PN), in whi ...
) operations that work only on the expression stack, not on data registers or main memory cells. This can be very convenient for executing high-level languages, because most arithmetic expressions can be easily translated into postfix notation. For example, consider the expression ''A''*(''B''-''C'')+(''D''+''E''), written in reverse Polish notation as ''A'' ''B'' ''C'' - * ''D'' ''E'' + +. Compiling and running this on a simple imaginary stack machine would take the form: # stack contents (leftmost = top = most recent): push A # A push B # B A push C # C B A subtract # B-C A multiply # A*(B-C) push D # D A*(B-C) push E # E D A*(B-C) add # D+E A*(B-C) add # A*(B-C)+(D+E) The arithmetic operations 'subtract', 'multiply', and 'add' act on the two topmost operands of the stack. The computer takes both operands from the topmost (most recent) values of the stack. The computer replaces those two values with the calculated difference, sum, or product. In other words the instruction's operands are "popped" off the stack, and its result(s) are then "pushed" back onto the stack, ready for the next instruction. Stack machines may have their expression stack and their call-return stack separated or as one integrated structure. If they are separated, the instructions of the stack machine can be pipelined with fewer interactions and less design complexity, so it will usually run faster. Optimisation of compiled stack code is quite possible. Back-end optimisation of compiler output has been demonstrated to significantly improve code, and potentially performance, whilst global optimisation within the compiler itself achieves further gains.


Stack storage

Some stack machines have a stack of limited size, implemented as a register file. The ALU will access this with an index. A large register file uses a lot of transistors and hence this method is only suitable for small systems. A few machines have both an expression stack in memory and a separate register stack. In this case, software, or an interrupt may move data between them. Some machines have a stack of unlimited size, implemented as an array in RAM, which is cached by some number "top of stack" address registers to reduce memory access. Except for explicit "load from memory" instructions, the order of operand usage is identical with the order of the operands in the data stack, so excellent prefetching can be accomplished easily. Consider . It compiles to ; ; . With a stack stored completely in RAM, this does implicit writes and reads of the in-memory stack: * Load X, push to memory * Load 1, push to memory * Pop 2 values from memory, add, and push result to memory for a total of 5 data cache references. The next step up from this is a stack machine or interpreter with a single top-of-stack register. The above code then does: * Load X into empty TOS register (if hardware machine) or Push TOS register to memory, Load X into TOS register (if interpreter) * Push TOS register to memory, Load 1 into TOS register * Pop left operand from memory, add to TOS register and leave it there for a total of 5 data cache references, worst-case. Generally, interpreters don't track emptiness, because they don't have to—anything below the stack pointer is a non-empty value, and the TOS cache register is always kept hot. Typical Java interpreters do not buffer the top-of-stack this way, however, because the program and stack have a mix of short and wide data values. If the hardwired stack machine has 2 or more top-stack registers, or a register file, then all memory access is avoided in this example and there is only 1 data cache cycle.


History and implementations

Description of such a method requiring only two values at a time to be held in registers, with a limited set of pre-defined operands that were able to be extended by definition of further operands, functions and subroutines, was first provided at conference by Robert S. Barton in 1961.


Commercial stack machines

Examples of stack instruction sets directly executed in hardware include * the Z4 (1945) computer by
Konrad Zuse Konrad Ernst Otto Zuse (; 22 June 1910 – 18 December 1995) was a German civil engineer, pioneering computer scientist, inventor and businessman. His greatest achievement was the world's first programmable computer; the functional program ...
. * the
Burroughs large systems The Burroughs Large Systems Group produced a family of large 48-bit mainframes using stack machine instruction sets with dense syllables.E.g., 12-bit syllables for B5000, 8-bit syllables for B6500 The first machine in the family was the B5000 in ...
architecture (since 1961) * the English Electric KDF9 machine. First delivered in 1964, the KDF9 had a 19-level deep pushdown stack of arithmetic registers, and a 17-level deep stack for subroutine return addresses * the
Collins Radio Rockwell Collins was a multinational corporation headquartered in Cedar Rapids, Iowa, providing avionics and information technology systems and services to government agencies and aircraft manufacturers. It was formed when the Collins Radio Compa ...
Collins Adaptive Processing System minicomputer (CAPS, since 1969) and
Rockwell Collins Rockwell Collins was a multinational corporation headquartered in Cedar Rapids, Iowa, providing avionics and information technology systems and services to government agencies and aircraft manufacturers. It was formed when the Collins Radio Comp ...
Advanced Architecture Microprocessor (AAMP, since 1981). * the Xerox Dandelion introduced 27 April 1981, utilized a stack machine architecture to save memory. * the
UCSD Pascal UCSD Pascal is a Pascal programming language system that runs on the UCSD p-System, a portable, highly machine-independent operating system. UCSD Pascal was first released in 1977. It was developed at the University of California, San Diego (U ...
p-machine (as the Pascal MicroEngine and many others) supported a complete student programming environment on early 8-bit microprocessors with poor instruction sets and little RAM, by compiling to a virtual stack machine. *
MU5 The Manchester computers were an innovative series of Von Neumann architecture, stored-program Computer, electronic computers developed during the 30-year period between 1947 and 1977 by a small team at the Victoria University of Manchester, Uni ...
and
ICL 2900 Series The ICL 2900 Series was a range of mainframe computer systems announced by the British manufacturer ICL on 9 October 1974. The company had started development under the name "New Range" immediately on its formation in 1968. The range was not de ...
. Hybrid stack and accumulator machines. The accumulator register buffered the memory stack's top data value. Variants of load and store opcodes controlled when that register was spilled to the memory stack or reloaded from there. *
HP 3000 The HP 3000 series is a family of 16-bit and 32-bit minicomputers from Hewlett-Packard. It was designed to be the first minicomputer with full support for time-sharing in the hardware and the operating system, features that had mostly been limite ...
(Classic, not PA-RISC) *
Tandem Computers Tandem Computers, Inc. was the dominant manufacturer of fault-tolerant computer systems for ATM networks, banks, stock exchanges, telephone switching centers, and other similar commercial transaction processing applications requiring maximum up ...
T/16. Like HP 3000, except that compilers, not microcode, controlled when the register stack spilled to the memory stack or was refilled from the memory stack. * the Atmel MARC4 microcontroller * Several "Forth chips" such as the RTX2000, the
RTX2010 The RTX2010, manufactured by Intersil, is a radiation hardened stack machine microprocessor which has been used in numerous spacecraft. Characteristics It is a two-stack machine, each stack 256 words deep, that supports direct execution of Fort ...
, the F21 and the PSC1000 * The Setun
Ternary computer A ternary computer, also called trinary computer, is one that uses ternary logic (i.e., base 3) instead of the more common binary system (i.e., base 2) in its calculations. This means it uses trits (instead of bits, as most computers do). Ty ...
performed
balanced ternary Balanced ternary is a ternary numeral system (i.e. base 3 with three digits) that uses a balanced signed-digit representation of the integers in which the digits have the values −1, 0, and 1. This stands in contrast to the standard (unbalanc ...
using a stack. * The 4stack processor by Bernd Paysan has four stacks. * Patriot Scientific's Ignite stack machine designed by Charles H. Moore holds a leading ''functional density'' benchmark. *
Saab Ericsson Space Saab or SAAB may refer to: Brands and enterprises * Saab AB, Saab Group, a Swedish aerospace and defence company, formerly known as SAAB, and later as Saab AB ** Datasaab, a former computer company, started as spin off from Saab AB * Saab Automob ...
Thor
radiation hardened Radiation hardening is the process of making electronic components and circuits resistant to damage or malfunction caused by high levels of ionizing radiation ( particle radiation and high-energy electromagnetic radiation), especially for enviro ...
microprocessor * Inmos
transputer The transputer is a series of pioneering microprocessors from the 1980s, intended for parallel computing. To support this, each transputer had its own integrated memory and serial communication links to exchange data with other transputers. T ...
s. *
ZPU The ZPU (, meaning "anti-aircraft machine gun mount") is a family of towed anti-aircraft gun based on the Soviet 14.5×114mm KPV heavy machine gun. It entered service with the Soviet Union in 1949 and is used by over 50 countries worldwide. ...
A physically-small CPU designed to supervise FPGA systems. * The F18A architecture of the 144-processor GA144 chip from GreenArrays, Inc. *Some technical handheld calculators use reverse Polish notation in their keyboard interface, instead of having parenthesis keys. This is a form of stack machine. The Plus key relies on its two operands already being at the correct topmost positions of the user-visible stack.


Virtual stack machines

Examples of virtual stack machines interpreted in software: * the Whetstone ALGOL 60 interpretive code, on which some features of the Burroughs B6500 were based * the
UCSD Pascal UCSD Pascal is a Pascal programming language system that runs on the UCSD p-System, a portable, highly machine-independent operating system. UCSD Pascal was first released in 1977. It was developed at the University of California, San Diego (U ...
p-machine; which closely resembled Burroughs * the Niklaus Wirth p-code machine * Smalltalk * the Java virtual machine instruction set (note that only the abstract instruction set is stack based, HotSpot, the Sun Java Virtual Machine for instance, does not implement the actual interpreter in software, but as handwritten assembly stubs) * the
WebAssembly WebAssembly (sometimes abbreviated Wasm) defines a portable binary-code format and a corresponding text format for executable programs as well as software interfaces for facilitating interactions between such programs and their host environment ...
bytecode * the Virtual Execution System (VES) for the
Common Intermediate Language Common Intermediate Language (CIL), formerly called Microsoft Intermediate Language (MSIL) or Intermediate Language (IL), is the intermediate language binary instruction set defined within the Common Language Infrastructure (CLI) specification. ...
(CIL) instruction set of the
.NET Framework The .NET Framework (pronounced as "''dot net"'') is a proprietary software framework developed by Microsoft that runs primarily on Microsoft Windows. It was the predominant implementation of the Common Language Infrastructure (CLI) until bein ...
(ECMA 335) * the Forth programming language, especially the integral virtual machine * Adobe's PostScript * Parakeet programming language * Sun Microsystems' SwapDrop programming language for Sun Ray
smartcard A smart card, chip card, or integrated circuit card (ICC or IC card) is a physical electronic authentication device, used to control access to a resource. It is typically a plastic credit card-sized card with an embedded integrated circuit (IC) c ...
identification * Adobe's
ActionScript ActionScript is an object-oriented programming language originally developed by Macromedia Inc. (later acquired by Adobe). It is influenced by HyperTalk, the scripting language for HyperCard. It is now an implementation of ECMAScript (meaning ...
Virtual Machine 2 (AVM2) *
Ethereum Ethereum is a decentralized, open-source blockchain with smart contract functionality. Ether (Abbreviation: ETH; sign: Ξ) is the native cryptocurrency of the platform. Among cryptocurrencies, ether is second only to bitcoin in market capita ...
's EVM * the
CPython CPython is the reference implementation of the Python programming language. Written in C and Python, CPython is the default and most widely used implementation of the Python language. CPython can be defined as both an interpreter and a compi ...
bytecode Bytecode (also called portable code or p-code) is a form of instruction set designed for efficient execution by a software interpreter. Unlike human-readable source code, bytecodes are compact numeric codes, constants, and references (norma ...
interpreter * the
Ruby A ruby is a pinkish red to blood-red colored gemstone, a variety of the mineral corundum ( aluminium oxide). Ruby is one of the most popular traditional jewelry gems and is very durable. Other varieties of gem-quality corundum are called ...
YARV YARV (Yet another Ruby VM) is a bytecode interpreter that was developed for the Ruby programming language by Koichi Sasada. The goal of the project was to greatly reduce the execution time of Ruby programs. Since YARV has become the official R ...
bytecode interpreter * the
Rubinius Rubinius was an alternative Ruby implementation created by Evan Phoenix. Based loosely on the Smalltalk-80 ''Blue Book'' design, Rubinius sought to "provide a rich, high-performance environment for running Ruby code." Goals Rubinius follows in ...
virtual machine * the
bs (programming language) bs is a programming language and a compiler/interpreter for modest-sized programs on UNIX systems. The bs command can be invoked either for interactive programming or with a file containing a program, optionally taking arguments, via a Unix shell, ...
in
Unix Unix (; trademarked as UNIX) is a family of multitasking, multiuser computer operating systems that derive from the original AT&T Unix, whose development started in 1969 at the Bell Labs research center by Ken Thompson, Dennis Ritchie, an ...
uses a virtual stack machine to process commands, after first transposing provided input language form, into reverse-polish notation * the
Lua (programming language) Lua ( ; from meaning '' moon'') is a lightweight, high-level, multi-paradigm programming language designed primarily for embedded use in applications. Lua is cross-platform, since the interpreter of compiled bytecode is written in ANSI C, ...
C API


Hybrid machines

Pure stack machines are quite inefficient for procedures which access multiple fields from the same object. The stack machine code must reload the object pointer for each pointer+offset calculation. A common fix for this is to add some register-machine features to the stack machine: a visible register file dedicated to holding addresses, and register-style instructions for doing loads and simple address calculations. It is uncommon to have the registers be fully general purpose, because then there is no strong reason to have an expression stack and postfix instructions. Another common hybrid is to start with a register machine architecture, and add another memory address mode which emulates the push or pop operations of stack machines: 'memaddress = reg; reg += instr.displ'. This was first used in DEC's PDP-11 minicomputer. This feature was carried forward in
VAX VAX (an acronym for Virtual Address eXtension) is a series of computers featuring a 32-bit instruction set architecture (ISA) and virtual memory that was developed and sold by Digital Equipment Corporation (DEC) in the late 20th century. The V ...
computers and in
Motorola 6800 The 6800 ("''sixty-eight hundred''") is an 8-bit microprocessor designed and first manufactured by Motorola in 1974. The MC6800 microprocessor was part of the M6800 Microcomputer System (latter dubbed ''68xx'') that also included serial and para ...
and
M68000 The Motorola 68000 (sometimes shortened to Motorola 68k or m68k and usually pronounced "sixty-eight-thousand") is a 16/32-bit complex instruction set computer (CISC) microprocessor, introduced in 1979 by Motorola Semiconductor Products Sector ...
microprocessors. This allowed the use of simpler stack methods in early compilers. It also efficiently supported virtual machines using stack interpreters or
threaded code In computer science, threaded code is a programming technique where the code has a form that essentially consists entirely of calls to subroutines. It is often used in compilers, which may generate code in that form or be implemented in that fo ...
. However, this feature did not help the register machine's own code to become as compact as pure stack machine code. Also, the execution speed was less than when compiling well to the register architecture. It is faster to change the top-of-stack pointer only occasionally (once per call or return) rather than constantly stepping it up and down throughout each program statement, and it is even faster to avoid memory references entirely. More recently, so-called second-generation stack machines have adopted a dedicated collection of registers to serve as address registers, off-loading the task of memory addressing from the data stack. For example, MuP21 relies on a register called "A", while the more recent GreenArrays processors relies on two registers: A and B. The Intel x86 family of microprocessors have a register-style (accumulator) instruction set for most operations, but use stack instructions for its x87, Intel 8087 floating point arithmetic, dating back to the iAPX87 (8087) coprocessor for the 8086 and 8088. That is, there are no programmer-accessible floating point registers, but only an 80-bit wide, 8-level deep stack. The x87 relies heavily on the x86 CPU for assistance in performing its operations.


Computers using call stacks and stack frames

Most current computers (of any instruction set style) and most compilers use a large call-return stack in memory to organize the short-lived local variables and return links for all currently active procedures or functions. Each nested call creates a new stack frame in memory, which persists until that call completes. This call-return stack may be entirely managed by the hardware via specialized address registers and special address modes in the instructions. Or it may be merely a set of conventions followed by the compilers, using generic registers and register+offset address modes. Or it may be something in between. Since this technique is now nearly universal, even on register machines, it is not helpful to refer to all these machines as stack machines. That term is commonly reserved for machines which also use an expression stack and stack-only arithmetic instructions to evaluate the pieces of a single statement. Computers commonly provide direct, efficient access to the program's
global variable In computer programming, a global variable is a variable with global scope, meaning that it is visible (hence accessible) throughout the program, unless shadowed. The set of all global variables is known as the ''global environment'' or ''global s ...
s and to the local variables of only the current innermost procedure or function, the topmost stack frame. 'Up level' addressing of the contents of callers' stack frames is usually not needed and not supported as directly by the hardware. If needed, compilers support this by passing in frame pointers as additional, hidden parameters. Some Burroughs stack machines do support up-level refs directly in the hardware, with specialized address modes and a special 'display' register file holding the frame addresses of all outer scopes. Currently, only MCST Elbrus has done this in hardware. When
Niklaus Wirth Niklaus Emil Wirth (born 15 February 1934) is a Swiss computer scientist. He has designed several programming languages, including Pascal, and pioneered several classic topics in software engineering. In 1984, he won the Turing Award, generally ...
developed the first Pascal compiler for the
CDC 6000 The CDC 6000 series is a discontinued family of mainframe computers manufactured by Control Data Corporation in the 1960s. It consisted of the CDC 6200, CDC 6300, #Versions, CDC 6400, #Versions, CDC 6500, CDC 6600 and #Versions, CDC 6700 computers, ...
, he found that it was faster overall to pass in the frame pointers as a chain, rather than constantly updating complete arrays of frame pointers. This software method also adds no overhead for common languages like C which lack up-level refs. The same Burroughs machines also supported nesting of tasks or threads. The task and its creator share the stack frames that existed at the time of task creation, but not the creator's subsequent frames nor the task's own frames. This was supported by a cactus stack, whose layout diagram resembled the trunk and arms of a
Saguaro The saguaro (, ) (''Carnegiea gigantea'') is a tree-like cactus species in the monotypic genus ''Carnegiea'' that can grow to be over tall. It is native to the Sonoran Desert in Arizona, the Mexican state of Sonora, and the Whipple Mountai ...
cactus. Each task had its own memory segment holding its stack and the frames that it owns. The base of this stack is linked to the middle of its creator's stack. In machines with a conventional flat address space, the creator stack and task stacks would be separate heap objects in one heap. In some programming languages, the outer-scope data environments are not always nested in time. These languages organize their procedure 'activation records' as separate heap objects rather than as stack frames appended to a linear stack. In simple languages like Forth that lack local variables and naming of parameters, stack frames would contain nothing more than return branch addresses and frame management overhead. So their return stack holds bare return addresses rather than frames. The return stack is separate from the data value stack, to improve the flow of call setup and returns.


Comparison with register machines

Stack machines are often compared to
register machine In mathematical logic and theoretical computer science a register machine is a generic class of abstract machines used in a manner similar to a Turing machine. All the models are Turing equivalent. Overview The register machine gets its name fro ...
s, which hold values in an array of
register Register or registration may refer to: Arts entertainment, and media Music * Register (music), the relative "height" or range of a note, melody, part, instrument, etc. * ''Register'', a 2017 album by Travis Miller * Registration (organ), th ...
s. Register machines may store stack-like structures in this array, but a register machine has instructions which circumvent the stack interface. Register machines routinely outperform stack machines, and stack machines have remained a niche player in hardware systems. But stack machines are often used in implementing
virtual machine In computing, a virtual machine (VM) is the virtualization/ emulation of a computer system. Virtual machines are based on computer architectures and provide functionality of a physical computer. Their implementations may involve specialized h ...
s because of their simplicity and ease of implementation.


Instructions

Stack machines have higher code density. In contrast to common stack machine instructions which can easily fit in 6 bits or less, register machines require two or three register-number fields per ALU instruction to select operands; the densest register machines average about 16 bits per instruction plus the operands. Register machines also use a wider offset field for load-store opcodes. A stack machine's compact code naturally fits more instructions in cache, and therefore could achieve better
cache Cache, caching, or caché may refer to: Places United States * Cache, Idaho, an unincorporated community * Cache, Illinois, an unincorporated community * Cache, Oklahoma, a city in Comanche County * Cache, Utah, Cache County, Utah * Cache County ...
efficiency, reducing memory costs or permitting faster memory systems for a given cost. In addition, most stack-machine instructions are very simple, made from only one opcode field or one operand field. Thus, stack-machines require very little electronic resources to decode each instruction. A program has to execute more instructions when compiled to a stack machine than when compiled to a register machine or memory-to-memory machine. Every variable load or constant requires its own separate Load instruction, instead of being bundled within the instruction which uses that value. The separated instructions may be simple and faster running, but the total instruction count is still higher. Most register interpreters specify their registers by number. But a host machine's registers can't be accessed in an indexed array, so a memory array is allotted for virtual registers. Therefore, the instructions of a register interpreter must use memory for passing generated data to the next instruction. This forces register interpreters to be much slower on microprocessors made with a fine process rule (i.e. faster transistors without improving circuit speeds, such as the Haswell x86). These require several clocks for memory access, but only one clock for register access. In the case of a stack machine with a data forwarding circuit instead of a register file, stack interpreters can allot the host machine's registers for the top several operands of the stack instead of the host machine's memory In a stack machine, the operands used in the instructions are always at a known offset (set in the stack pointer), from a fixed location (the bottom of the stack, which in a hardware design might always be at memory location zero), saving precious in-
cache Cache, caching, or caché may refer to: Places United States * Cache, Idaho, an unincorporated community * Cache, Illinois, an unincorporated community * Cache, Oklahoma, a city in Comanche County * Cache, Utah, Cache County, Utah * Cache County ...
or in- CPU storage from being used to store quite so many
memory address In computing, a memory address is a reference to a specific memory location used at various levels by software and hardware. Memory addresses are fixed-length sequences of digits conventionally displayed and manipulated as unsigned integers. ...
es or index numbers. This may preserve such registers and cache for use in non-flow computation.


Temporary / local values

Some in the industry believe that stack machines execute more
data cache A CPU cache is a hardware cache used by the central processing unit (CPU) of a computer to reduce the average cost (time or energy) to access data from the main memory. A cache is a smaller, faster memory, located closer to a processor core, whi ...
cycles for temporary values and local variables than do register machines. On stack machines, temporary values often get spilled into memory, whereas on machines with many registers these temps usually remain in registers. (However, these values often need to be spilled into "activation frames" at the end of a procedure's definition, basic block, or at the very least, into a memory buffer during interrupt processing). Values spilled to memory add more cache cycles. This spilling effect depends on the number of hidden registers used to buffer top-of-stack values, upon the frequency of nested procedure calls, and upon host computer interrupt processing rates. On register machines using optimizing compilers, it is very common for the most-used local variables to remain in registers rather than in stack frame memory cells. This eliminates most data cache cycles for reading and writing those values. The development of "stack scheduling" for performing live-variable analysis, and thus retaining key variables on the stack for extended periods, helps this concern. On the other hand, register machines must spill many of their registers to memory across nested procedure calls. The decision of which registers to spill, and when, is made statically at compile time rather than on the dynamic depth of the calls. This can lead to more data cache traffic than in an advanced stack machine implementation.


Common subexpressions

In register machines, a common subexpression (a subexpression which is used multiple times with the same result value) can be evaluated just once and its result saved in a fast register. The subsequent reuses have no time or code cost, just a register reference. This optimization speeds simple expressions (for example, loading variable X or pointer P) as well as less-common complex expressions. With stack machines, in contrast, results can be stored in one of two ways. Firstly, results can be stored using a temporary variable in memory. Storing and subsequent retrievals cost additional instructions and additional data cache cycles. Doing this is only a win if the subexpression computation costs more in time than fetching from memory, which in most stack CPUs, almost always is the case. It is never worthwhile for simple variables and pointer fetches, because those already have the same cost of one data cache cycle per access. It is only marginally worthwhile for expressions such as . These simpler expressions make up the majority of redundant, optimizable expressions in programs written in non-concatenative languages. An optimizing compiler can only win on redundancies that the programmer could have avoided in the source code. The second way leaves a computed value on the data stack, duplicating it as needed. This uses operations to copy stack entries. The stack must be depth shallow enough for the CPU's available copy instructions. Hand-written stack code often uses this approach, and achieves speeds like general-purpose register machines. Unfortunately, algorithms for optimal "stack scheduling" are not in wide use by programming languages.


Pipelining

In modern machines, the time to fetch a variable from the data cache is often several times longer than the time needed for basic ALU operations. A program runs faster without stalls if its memory loads can be started several cycles before the instruction that needs that variable. Complex machines can do this with a deep pipeline and "out-of-order execution" that examines and runs many instructions at once. Register machines can even do this with much simpler "in-order" hardware, a shallow pipeline, and slightly smarter compilers. The load step becomes a separate instruction, and that instruction is statically scheduled much earlier in the code sequence. The compiler puts independent steps in between. Scheduling memory accesses requires explicit, spare registers. It is not possible on stack machines without exposing some aspect of the micro-architecture to the programmer. For the expression A B -, B must be evaluated and pushed immediately prior to the Minus step. Without stack permutation or hardware multithreading, relatively little useful code can be put in between while waiting for the Load B to finish. Stack machines can work around the memory delay by either having a deep out-of-order execution pipeline covering many instructions at once, or more likely, they can permute the stack such that they can work on other workloads while the load completes, or they can interlace the execution of different program threads, as in the Unisys A9 system. Today's increasingly parallel computational loads suggests, however, this might not be the disadvantage it's been made out to be in the past. Stack machines can omit the operand fetching stage of a register machine. For example, in the
Java Optimized Processor Java Optimized Processor (JOP) is a Java processor, an implementation of Java virtual machine (JVM) in hardware. JOP is free hardware under the GNU General Public Licenseversion 3 The intention of JOP is to provide a small hardware JVM for embed ...
(JOP) microprocessor the top 2 operands of stack directly enter a data forwarding circuit that is faster than the register file.


Out-of-order execution

The
Tomasulo algorithm Tomasulo's algorithm is a computer architecture hardware algorithm for dynamic scheduling of instructions that allows out-of-order execution and enables more efficient use of multiple execution units. It was developed by Robert Tomasulo at IBM in ...
finds
instruction-level parallelism Instruction-level parallelism (ILP) is the parallel or simultaneous execution of a sequence of instructions in a computer program. More specifically ILP refers to the average number of instructions run per step of this parallel execution. Disc ...
by issuing instructions as their data becomes available. Conceptually, the addresses of positions in a stack are no different than the register indexes of a register file. This view permits the out-of-order execution of the Tomasulo algorithm to be used with stack machines. Out-of-order execution in stack machines seems to reduce or avoid many theoretical and practical difficulties. The cited research shows that such a stack machine can exploit instruction-level parallelism, and the resulting hardware must cache data for the instructions. Such machines effectively bypass most memory accesses to the stack. The result achieves throughput (instructions per
clock A clock or a timepiece is a device used to measure and indicate time. The clock is one of the oldest human inventions, meeting the need to measure intervals of time shorter than the natural units such as the day, the lunar month and t ...
) comparable to RISC register machines, with much higher code densities (because operand addresses are implicit). One issue brought up in the research was that it takes about 1.88 stack-machine instructions to do the work of a register machine's RISC instruction. Competitive out-of-order stack machines therefore require about twice as many electronic resources to track instructions ("issue stations"). This might be compensated by savings in instruction cache and memory and instruction decoding circuits.


Hides a faster register machine inside

Some simple stack machines have a chip design which is fully customized all the way down to the level of individual registers. The top of stack address register and the N top of stack data buffers are built from separate individual register circuits, with separate adders and ad hoc connections. However, most stack machines are built from larger circuit components where the N data buffers are stored together within a register file and share read/write buses. The decoded stack instructions are mapped into one or more sequential actions on that hidden register file. Loads and ALU ops act on a few topmost registers, and implicit spills and fills act on the bottommost registers. The decoder allows the instruction stream to be compact. But if the code stream instead had explicit register-select fields which directly manipulated the underlying register file, the compiler could make better use of all registers and the program would run faster. Microprogrammed stack machines are an example of this. The inner microcode engine is some kind of RISC-like register machine or a
VLIW Very long instruction word (VLIW) refers to instruction set architectures designed to exploit instruction level parallelism (ILP). Whereas conventional central processing units (CPU, processor) mostly allow programs to specify instructions to exe ...
-like machine using multiple register files. When controlled directly by task-specific microcode, that engine gets much more work completed per cycle than when controlled indirectly by equivalent stack code for that same task. The object code translators for the
HP 3000 The HP 3000 series is a family of 16-bit and 32-bit minicomputers from Hewlett-Packard. It was designed to be the first minicomputer with full support for time-sharing in the hardware and the operating system, features that had mostly been limite ...
and
Tandem Tandem, or in tandem, is an arrangement in which a team of machines, animals or people are lined up one behind another, all facing in the same direction. The original use of the term in English was in ''tandem harness'', which is used for two ...
T/16 are another example. They translated stack code sequences into equivalent sequences of RISC code. Minor 'local' optimizations removed much of the overhead of a stack architecture. Spare registers were used to factor out repeated address calculations. The translated code still retained plenty of emulation overhead from the mismatch between original and target machines. Despite that burden, the cycle efficiency of the translated code matched the cycle efficiency of the original stack code. And when the source code was recompiled directly to the register machine via optimizing compilers, the efficiency doubled. This shows that the stack architecture and its non-optimizing compilers were wasting over half of the power of the underlying hardware. Register files are good tools for computing because they have high bandwidth and very low latency, compared to memory references via data caches. In a simple machine, the register file allows reading two independent registers and writing of a third, all in one ALU cycle with one-cycle or less latency. Whereas the corresponding data cache can start only one read or one write (not both) per cycle, and the read typically has a latency of two ALU cycles. That's one third of the throughput at twice the pipeline delay. In a complex machine like
Athlon Athlon is the brand name applied to a series of x86-compatible microprocessors designed and manufactured by Advanced Micro Devices (AMD). The original Athlon (now called Athlon Classic) was the first seventh-generation x86 processor and the fi ...
that completes two or more instructions per cycle, the register file allows reading of four or more independent registers and writing of two others, all in one ALU cycle with one-cycle latency. Whereas the corresponding dual-ported data cache can start only two reads or writes per cycle, with multiple cycles of latency. Again, that's one third of the throughput of registers. It is very expensive to build a cache with additional ports. Since a stack is a component of most software programs, even when the software used is not strictly a stack machine, a hardware stack machine might more closely mimic the inner workings of its programs. Processor registers have a high thermal cost, and a stack machine might claim higher energy efficiency.


Interrupts

Responding to an interrupt involves saving the registers to a stack, and then branching to the interrupt handler code. Often stack machines respond more quickly to interrupts, because most parameters are already on a stack and there is no need to push them there. Some register machines deal with this by having multiple register files that can be instantly swapped but this increases costs and slows down the register file.


Interpreters

Interpreters for virtual stack machines are easier to build than interpreters for register machines; the logic for handling memory address modes is in just one place rather than repeated in many instructions. Stack machines also tend to have fewer variations of an opcode; one generalized opcode will handle both frequent cases and obscure corner cases of memory references or function call setup. (But code density is often improved by adding short and long forms for the same operation.) Interpreters for virtual stack machines are often slower than interpreters for other styles of virtual machine. This slowdown is worst when running on host machines with deep execution pipelines, such as current x86 chips. In some interpreters, the interpreter must execute a N-way switch jump to decode the next opcode and branch to its steps for that particular opcode. Another method for selecting opcodes is
threaded code In computer science, threaded code is a programming technique where the code has a form that essentially consists entirely of calls to subroutines. It is often used in compilers, which may generate code in that form or be implemented in that fo ...
. The host machine's prefetch mechanisms are unable to predict and fetch the target of that indexed or indirect jump. So the host machine's execution pipeline must restart each time the hosted interpreter decodes another virtual instruction. This happens more often for virtual stack machines than for other styles of virtual machine. One example is the
Java Java (; id, Jawa, ; jv, ꦗꦮ; su, ) is one of the Greater Sunda Islands in Indonesia. It is bordered by the Indian Ocean to the south and the Java Sea to the north. With a population of 151.6 million people, Java is the world's mos ...
programming language. Its canonical
virtual machine In computing, a virtual machine (VM) is the virtualization/ emulation of a computer system. Virtual machines are based on computer architectures and provide functionality of a physical computer. Their implementations may involve specialized h ...
is specified as an 8-bit stack machine. However, the Dalvik virtual machine for Java used on Android smartphones is a 16-bit virtual-register machine - a choice made for efficiency reasons. Arithmetic instructions directly fetch or store local variables via 4-bit (or larger) instruction fields. Similarly version 5.0 of Lua replaced its virtual stack machine with a faster virtual register machine. Since Java virtual machine became popular, microprocessors have employed advanced
branch predictor In computer architecture, a branch predictor is a digital circuit that tries to guess which way a branch (e.g., an if–then–else structure) will go before this is known definitively. The purpose of the branch predictor is to improve the flow ...
s for indirect jumps. This advance avoids most of pipeline restarts from N-way jumps and eliminates much of the instruction count costs that affect stack interpreters.


See also

* Stack-oriented programming language *
Concatenative programming language A concatenative programming language is a point-free computer programming language in which all expressions denote functions, and the juxtaposition of expressions denotes function composition. Concatenative programming replaces function appli ...
*
Comparison of application virtual machines Application virtualization software refers to both application virtual machines and software responsible for implementing them. Application virtual machines are typically used to allow application bytecode to run portably on many different comput ...
* SECD machine * Accumulator machine * Belt machine *
Random-access machine In computer science, random-access machine (RAM) is an abstract machine in the general class of register machines. The RAM is very similar to the counter machine but with the added capability of 'indirect addressing' of its registers. Like the cou ...


References

{{reflist, refs= {{cite book , title=Computer architecture: Concepts and evolution , author-first1=Gerrit Anne , author-last1=Blaauw , author-link1=Gerrit Anne Blaauw , author-first2=Frederick Phillips , author-last2=Brooks, Jr. , author-link2=Frederick Phillips Brooks , publisher= Addison-Wesley Longman Publishing Co., Inc. , publication-place=Boston, Massachusetts, USA , date=1997 {{cite web , title=ZPU - the world's smallest 32-bit CPU with a GCC tool-chain: Overview , url=http://opencores.org/project,zpu , publisher=opencores.org , access-date=2015-02-07 {{cite web , url=https://www.greenarraychips.com/home/documents/index.php#F18A , title=Documents , at=F18A Technology , website=GreenArrays, Inc. , access-date=2022-07-07 {{cite web , url=http://www.colorforth.com/inst.htm , title=colorForth Instructions , website=Colorforth.com , access-date=2017-10-08 , archive-url=https://web.archive.org/web/20160310112802/http://colorforth.com/inst.htm , archive-date=2016-03-10 (Instruction set of the F18A cores, named colorForth for historical reasons.) {{cite web , author-last=Koopman, Jr. , author-first=Philip John , url=http://www.ece.cmu.edu/~koopman/stack_computers/ , title=Stack Computers: the new wave , website=Ece.cmu.edu , access-date=2017-10-08 {{cite web , author-last1=Chatterji , author-first1=Satrajit , author-last2=Ravindran , author-first2=Kaushik , title=BOOST: Berkeley's Out of Order Stack Thingie , url=https://www.researchgate.net/publication/228556746 , website=Research Gate , publisher=Kaushik Ravindran , access-date=2016-02-16 {{cite magazine , first=Bob , last=Beard , magazine=Computer RESURRECTION , date=Autumn 1997 , url=http://www.cs.man.ac.uk/CCS/res/res18.htm#c , title=The KDF9 Computer - 30 Years On {{cite journal , author-last=Koopman, Jr. , author-first=Philip John , title=A Preliminary Exploration of Optimized Stack Code Generation , journal=Journal of Forth Applications and Research , date=1994 , volume=6 , issue=3 , url=http://www.ece.cmu.edu/~koopman/stack_compiler/stack_co.pdf {{cite journal , author-last=Bailey , author-first=Chris , title=Inter-Boundary Scheduling of Stack Operands: A preliminary Study , journal=Proceedings of Euroforth 2000 Conference , date=2000 , url=http://www.complang.tuwien.ac.at/anton/euroforth/ef00/bailey00.pdf {{cite journal , author-last1=Shannon , author-first1=Mark , author-last2=Bailey , author-first2=Chris , title=Global Stack Allocation: Register Allocation for Stack Machines , journal=Proceedings of Euroforth Conference 2006 , date=2006 , url=http://www.complang.tuwien.ac.at/anton/euroforth2006/papers/shannon.pdf {{cite conference , conference=1961 Western Joint IRE-AIEE-ACM Computer Conference , title=A new approach to the functional design of a digital computer , author-last=Barton , author-first=Robert S. , author-link=Robert S. Barton , date=1961 , book-title=Papers Presented at the May 9-11, 1961, Western Joint IRE-AIEE-ACM Computer Conference , pages=393–396 , doi=10.1145/1460690.1460736 , isbn=978-1-45037872-7 , s2cid=29044652 , url=https://dl.acm.org/doi/10.1145/1460690.1460736 {{cite journal , journal=IEEE Annals of the History of Computing , title=A new approach to the functional design of a digital computer , author-last=Barton , author-first=Robert S. , author-link=Robert S. Barton , date=1987 , volume=9 , pages=11–15 , doi=10.1109/MAHC.1987.10002 , url=http://doi.ieeecomputersociety.org/10.1109/MAHC.1987.10002 {{cite journal , url=http://hokiepokie.org/docs/EETimes.ps , title=The World's First Java Processor , author-first1=David A. , author-last1=Greve , author-first2=Matthew M. , author-last2=Wilding , journal=Electronic Engineering Times , date=1998-01-12 {{cite web , title=Mesa Processor Principles of Operation , url=http://www.digibarn.com/friends/alanfreier/princops/00yTableOfContents.html , website=DigiBarn Computer Museum , publisher=Xerox , access-date=2019-12-23 {{cite web , title=DigiBarn: The Xerox Star 8010 "Dandelion" , url=http://www.digibarn.com/collections/systems/xerox-8010/index.html , publisher=DigiBarn Computer Museum , access-date=2019-12-23 {{cite manual , url=https://en.wikichip.org/w/images/4/44/MARC4_4-bit_Microcontrollers_Programmer%27s_Guide.pdf , title=MARC4 4-bit Microcontrollers Programmer's Guide , publisher= Atmel {{cite web , url=http://www.colorforth.com/chips.html , title=Forth chips , website=Colorforth.com , access-date=2017-10-08 , url-status=dead , archive-url=https://web.archive.org/web/20060215200605/http://www.colorforth.com/chips.html , archive-date=2006-02-15 {{cite web , url=http://www.ultratechnology.com/f21.html , title=F21 Microprocessor Overview , website=Ultratechnology.com , access-date=2017-10-08 {{cite web , url=https://github.com/ForthHub/ForthFreak , title=ForthFreak wiki , date=2017-08-25 , access-date=2017-10-08 , website=GitHub.com {{cite web , url=https://www.developer.com/guides/a-java-chip-available-now/ , title=A Java chip available -- now! , website=Developer.com , date=1999-04-08 , access-date=2022-07-07 {{cite web , url=http://bernd-paysan.de/4stack.html , title=4stack Processor , website=bernd-paysan.de , access-date=2017-10-08 {{cite web , url=http://lundqvist.dyndns.org/Publications/thesis95/ThorGCC.pdf , title=Porting the GNU C Compiler to the Thor Microprocessor , date=1995-12-04 , access-date=2011-03-30 , url-status=dead , archive-url=https://web.archive.org/web/20110820085702/http://lundqvist.dyndns.org/Publications/thesis95/ThorGCC.pdf , archive-date=2011-08-20 {{cite web , url=http://www.greenarraychips.com/ , title=GreenArrays, Inc. , website=Greenarraychips.com , access-date=2017-10-08 {{cite book , author-last1=Randell , author-first1=Brian , author-link1=Brian Randell , author-last2=Russell , author-first2=Lawford John , url=http://www.softwarepreservation.org/projects/ALGOL/book/Randell_ALGOL_60_Implementation_1964.pdf , title=Algol 60 Implementation , location=London, UK , publisher=
Academic Press Academic Press (AP) is an academic book publisher founded in 1941. It was acquired by Harcourt, Brace & World in 1969. Reed Elsevier bought Harcourt in 2000, and Academic Press is now an imprint of Elsevier. Academic Press publishes referen ...
, date=1964 , isbn=0-12-578150-4
{{cite journal , author-last1=Shi , author-first1=Yunhe , author-last2=Gregg , author-first2=David , author-last3=Beatty , author-first3=Andrew , author-last4=Ertl , author-first4=M. Anton , title=Virtual machine showdown: stack versus registers , journal=Proceedings of the 1st ACM/USENIX International Conference on Virtual Execution Environments - VEE '05 , date=2005 , pages=153 , doi=10.1145/1064979.1065001 , s2cid=811512 {{cite book , author-last=Hyde , author-first=Randall , author-link=Randall Hyde , title=Write Great Code, Vol. 2: Thinking Low-Level, Writing High-Level , date=2004 , volume=2 , publisher=
No Starch Press No Starch Press is an American publishing company, specializing in technical literature often geared towards the geek, hacker, and DIY subcultures. Popular titles include '' Hacking: The Art of Exploitation'', Andrew Huang's ''Hacking the Xbo ...
, isbn=978-1-59327-065-0 , page=391 , url=https://www.google.com/books/edition/Write_Great_Code_Vol_2/mM58oD4LATUC?hl=en&gbpv=1&dq=stack%20machines%20simplicity&pg=PA391&printsec=frontcover&bsq=stack%20machines%20simplicity , access-date=2021-06-30 , language=en
"Computer Architecture: A Quantitative Approach", John L. Hennessy, David Andrew Patterson; See the discussion of stack machines. {{cite book , title=Second-Generation Stack Computer Architecture , chapter=2.1 Lukasiewicz and the First Generation: 2.1.2 Germany: Konrad Zuse (1910–1995); 2.2 The First Generation of Stack Computers: 2.2.1 Zuse Z4 , author-first=Charles Eric , author-last=LaForest , type=thesis , publisher=
University of Waterloo The University of Waterloo (UWaterloo, UW, or Waterloo) is a public research university with a main campus in Waterloo, Ontario, Canada. The main campus is on of land adjacent to "Uptown" Waterloo and Waterloo Park. The university also operates ...
, location=Waterloo, Canada , date=April 2007 , page=8, 11, etc. , url=http://fpgacpu.ca/publications/Second-Generation_Stack_Computer_Architecture.pdf , access-date=2022-07-02 , url-status=live , archive-url=https://web.archive.org/web/20220120155616/http://fpgacpu.ca/publications/Second-Generation_Stack_Computer_Architecture.pdf , archive-date=2022-01-20 (178 pages

/ref> {{cite manual , url=http://www.bitsavers.org/pdf/burroughs/A-Series/MCP_3.6/1170057_Introduction_to_A_Series_Systems_3.6_Apr86.pdf , title=Introduction to A Series Systems , date=April 1986 , publisher=
Burroughs Corporation The Burroughs Corporation was a major American manufacturer of business equipment. The company was founded in 1886 as the American Arithmometer Company. In 1986, it merged with Sperry UNIVAC to form Unisys. The company's history paralleled many ...
, access-date=2022-07-07
{{cite web , url=http://www.jopdesign.com/doc/stack.pdf , title=Design and Implementation of an Efficient Stack Machine , website=Jopdesign.com , access-date=2017-10-08 {{cite journal , title=HP3000 Emulation on HP Precision Architecture Computers , author-first1=Arndt , author-last1=Bergh , author-first2=Keith , author-last2=Keilman , author-first3=Daniel , author-last3=Magenheimer , author-first4=James , author-last4=Miller , journal=
Hewlett-Packard Journal ''Hewlett-Packard Journal'' was a magazine published by Hewlett-Packard (HP) between 1949–1998. The first issue appeared in September 1949. Headquartered in Palo Alto, California Palo Alto (; Spanish for "tall stick") is a charter city i ...
, publisher= Hewlett Packard , date=December 1987 , pages=87–89 , url=http://www.hpl.hp.com/hpjournal/pdfs/IssuePDFs/1987-12.pdf , access-date=2017-10-08
Migrating a CISC Computer Family onto RISC via Object Code Translation. Kristy Andrews, Duane Sand: Proceedings of ASPLOS-V, October 1992 8051 CPU Manual, Intel, 1980 {{cite web , title=Virtual Machine Showdown: Stack vs. Register Machine , author-first1=Yunhe , author-last1=Shi , author-first2=David , author-last2=Gregg , author-first3=Andrew , author-last3=Beatty , author-first4=M. Anton , author-last4=Ertle , url=http://usenix.org/events/vee05/full_papers/p153-yunhe.pdf , website=Usenix.org , access-date=2017-10-08 {{cite web , title=The Case for Virtual Register Machines , author-first1=Brian , author-last1=Davis , author-first2=Andrew , author-last2=Beatty , author-first3=Kevin , author-last3=Casey , author-first4=David , author-last4=Gregg , author-first5=John , author-last5=Waldron , url=http://www.scss.tcd.ie/David.Gregg/papers/Gregg-SoCP-2005.pdf , website=Scss.tcd.ie , access-date=2017-10-08 {{cite web , url=http://sites.google.com/site/io/dalvik-vm-internals/2008-05-29-Presentation-Of-Dalvik-VM-Internals.pdf?attredirects=0 , title=Presentation of Dalvik VM Internals , author-first=Dan , author-last=Bornstein , date=2008-05-29 , access-date=2010-08-16 , format=PDF , page=22 {{cite web , url=http://www.lua.org/doc/jucs05.pdf , title=The Implementation of Lua 5.0 , website=Lua.org , access-date=2017-10-08 {{cite web , url=http://www.inf.puc-rio.br/~roberto/talks/lua-ll3.pdf , title=The Virtual Machine of Lua 5.0 , website=Inf.puc-rio.br , access-date=2017-10-08 {{cite web , url=https://hal.inria.fr/hal-01100647/document , title=Branch Prediction and the Performance of Interpreters - Don't Trust Folklore , website=Hal.inria.fr , access-date=2017-10-08


External links


Homebrew CPU in an FPGA
— homebrew stack machine using FPGA

— homebrew stack machine using discrete logical circuits

— homebrew stack machine using bitslice/PLD
Second-Generation Stack Computer Architecture
— Thesis about the history and design of stack machines. Models of computation Stack machines Microprocessors