Intel Pentium 4
   HOME

TheInfoList



OR:

Pentium 4 is a series of single-core
CPU A central processing unit (CPU), also called a central processor, main processor or just processor, is the electronic circuitry that executes instructions comprising a computer program. The CPU performs basic arithmetic, logic, controlling, a ...
s for
desktop A desktop traditionally refers to: * The surface of a desk (often to distinguish office appliances that fit on a desk, such as photocopiers and printers, from larger equipment covering its own area on the floor) Desktop may refer to various compu ...
s,
laptop A laptop, laptop computer, or notebook computer is a small, portable personal computer (PC) with a screen and alphanumeric keyboard. Laptops typically have a clam shell form factor with the screen mounted on the inside of the upper li ...
s and entry-level
server Server may refer to: Computing *Server (computing), a computer program or a device that provides functionality for other programs or devices, called clients Role * Waiting staff, those who work at a restaurant or a bar attending customers and su ...
s manufactured by
Intel Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California. It is the world's largest semiconductor chip manufacturer by revenue, and is one of the developers of the x86 ser ...
. The processors were shipped from November 20, 2000 until August 8, 2008. The production of Netburst processors was active from 2000 until May 21, 2010. All Pentium 4 CPUs are based on the NetBurst microarchitecture. The Pentium 4 '' Willamette'' (180 nm) introduced
SSE2 SSE2 (Streaming SIMD Extensions 2) is one of the Intel SIMD (Single Instruction, Multiple Data) processor supplementary instruction sets first introduced by Intel with the initial version of the Pentium 4 in 2000. It extends the earlier SSE i ...
, while the '' Prescott'' (90 nm) introduced
SSE3 SSE3, Streaming SIMD Extensions 3, also known by its Intel code name Prescott New Instructions (PNI), is the third iteration of the SSE instruction set for the IA-32 (x86) architecture. Intel introduced SSE3 in early 2004 with the Prescott revis ...
. Later versions introduced
Hyper-Threading Technology Hyper-threading (officially called Hyper-Threading Technology or HT Technology and abbreviated as HTT or HT) is Intel's proprietary simultaneous multithreading (SMT) implementation used to improve parallelization of computations (doing multi ...
(HTT). The first Pentium 4-branded processor to implement
64-bit In computer architecture, 64-bit integers, memory addresses, or other data units are those that are 64 bits wide. Also, 64-bit CPUs and ALUs are those that are based on processor registers, address buses, or data buses of that size. A ...
was the ''Prescott'' (90 nm) (February 2004), but this feature was not enabled. Intel subsequently began selling 64-bit Pentium 4s using the ''"E0" revision'' of the Prescotts, being sold on the OEM market as the Pentium 4, model F. The E0 revision also adds eXecute Disable (XD) (Intel's name for the
NX bit The NX bit (no-execute) is a technology used in CPUs to segregate areas of memory for use by either storage of processor instructions or for storage of data, a feature normally only found in Harvard architecture processors. However, the NX bit is ...
) to Intel 64. Intel's official launch of Intel 64 (under the name EM64T at that time) in mainstream desktop processors was the N0 stepping Prescott-2M. Intel also marketed a version of their low-end
Celeron Celeron is Intel's brand name for low-end IA-32 and x86-64 computer microprocessor models targeted at low-cost personal computers. Celeron processors are compatible with IA-32 software. They typically offer less performance per clock speed co ...
processors based on the NetBurst microarchitecture (often referred to as ''Celeron 4''), and a high-end derivative,
Xeon Xeon ( ) is a brand of x86 microprocessors designed, manufactured, and marketed by Intel, targeted at the non-consumer workstation, server, and embedded system markets. It was introduced in June 1998. Xeon processors are based on the same ar ...
, intended for multi-socket
server Server may refer to: Computing *Server (computing), a computer program or a device that provides functionality for other programs or devices, called clients Role * Waiting staff, those who work at a restaurant or a bar attending customers and su ...
s and
workstation A workstation is a special computer designed for technical or scientific applications. Intended primarily to be used by a single user, they are commonly connected to a local area network and run multi-user operating systems. The term ''workst ...
s. In 2005, the Pentium 4 was complemented by the
dual-core A multi-core processor is a microprocessor on a single integrated circuit with two or more separate processing units, called cores, each of which reads and executes program instructions. The instructions are ordinary CPU instructions (such ...
-brands
Pentium D Pentium D is a range of desktop 64-bit x86-64 processors based on the NetBurst microarchitecture, which is the dual-core variant of the Pentium 4 manufactured by Intel. Each CPU comprised two dies, each containing a single core, residing next to ...
and Pentium Extreme Edition.


Microarchitecture

In benchmark evaluations, the advantages of the NetBurst microarchitecture were unclear. With carefully optimized application code, the first Pentium 4s outperformed Intel's fastest Pentium III (clocked at 1.13 GHz at the time), as expected. But in legacy applications with many branching or
x87 x87 is a floating-point-related subset of the x86 architecture instruction set. It originated as an extension of the 8086 instruction set in the form of optional floating-point coprocessors that worked in tandem with corresponding x86 CPUs. These ...
floating-point In computing, floating-point arithmetic (FP) is arithmetic that represents real numbers approximately, using an integer with a fixed precision, called the significand, scaled by an integer exponent of a fixed base. For example, 12.345 can ...
instructions, the Pentium 4 would merely match or run slower than its predecessor. Its main downfall was a shared unidirectional bus. The NetBurst microarchitecture consumed more power and emitted more heat than any previous Intel or AMD microarchitectures. As a result, the Pentium 4's introduction was met with mixed reviews: Developers disliked the Pentium 4, as it posed a new set of
code optimization In computer science, program optimization, code optimization, or software optimization, is the process of modifying a software system to make some aspect of it work more efficiently or use fewer resources. In general, a computer program may be o ...
rules. For example, in mathematical applications, AMD's lower-clocked
Athlon Athlon is the brand name applied to a series of x86-compatible microprocessors designed and manufactured by Advanced Micro Devices (AMD). The original Athlon (now called Athlon Classic) was the first seventh-generation x86 processor and the fi ...
(the fastest-clocked model was clocked at 1.2 GHz at the time) easily outperformed the Pentium 4, which would only catch up if software was re-compiled with
SSE2 SSE2 (Streaming SIMD Extensions 2) is one of the Intel SIMD (Single Instruction, Multiple Data) processor supplementary instruction sets first introduced by Intel with the initial version of the Pentium 4 in 2000. It extends the earlier SSE i ...
support. Tom Yager of ''Infoworld'' magazine called it "the fastest CPU - for programs that fit entirely in cache". Computer-savvy buyers avoided Pentium 4 PCs due to their price premium, questionable benefit, and initial restriction to
Rambus Rambus Incorporated, founded in 1990, is an American technology company that designs, develops and licenses chip interface technologies and architectures that are used in digital electronics products. The company is well known for inventing ...
' RDRAM. In terms of product marketing, the Pentium 4's singular emphasis on clock frequency (above all else) made it a marketer's dream. The result of this was that the NetBurst micro architecture was often referred to as a marchitecture by various computing websites and publications during the life of the Pentium 4. It was also called "NetBust," a term popular with reviewers who reflected negatively upon the processor's performance. The two classical metrics of CPU performance are IPC (instructions per cycle) and clock speed. While IPC is difficult to quantify due to dependence on the
benchmark Benchmark may refer to: Business and economics * Benchmarking, evaluating performance within organizations * Benchmark price * Benchmark (crude oil), oil-specific practices Science and technology * Benchmark (surveying), a point of known elevati ...
application's instruction mix, clock speed is a simple measurement yielding a single absolute number. Unsophisticated buyers would simply consider the processor with the highest clock speed to be the best product, and the Pentium 4 had the fastest clock speed. Because AMD's processors had slower clock speeds, it countered Intel's marketing advantage with the " megahertz myth" campaign. AMD product marketing used a "PR-rating" system, which assigned a merit value based on relative performance to a baseline machine. At the launch of the Pentium 4, Intel stated that NetBurst-based processors were expected to scale to 10 GHz after several
fabrication process Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are pres ...
generations. However, the clock speed of processors using the NetBurst micro architecture reached a maximum of 3.8 GHz. Intel had not anticipated a rapid upward scaling of transistor power leakage that began to occur as the die reached the 90 nm lithography and smaller. This new power leakage phenomenon, along with the standard thermal output, created cooling and clock scaling problems as clock speeds increased. Reacting to these unexpected obstacles, Intel attempted several core redesigns (" Prescott" most notably) and explored new manufacturing technologies, such as using multiple cores, increasing FSB speeds, increasing the cache size, and using a longer instruction pipeline along with higher clock speeds. The code cache was replaced by a trace cache which contained decoded microoperations rather than instructions with advantage of eliminating instruction decoding bottleneck so that the design can use RISC technology. This came with a disadvantage of less compact cache taking up more chip space and consuming power. These solutions failed, and from 2003 to 2005, Intel shifted development away from NetBurst to focus on the cooler-running Pentium M microarchitecture. On January 5, 2006, Intel launched the Core processors, which put greater emphasis on energy efficiency and performance per clock cycle. The final NetBurst-derived products were released in 2007, with all subsequent product families switching exclusively to the Core microarchitecture.


Testing and validation

According to Bob Bentley, presenting on behalf of Intel at the 38th annual Design Automation Conference, "The microarchitecture of the Pentium 4 processor is significantly more complex than any previous IA-32 microprocessor, so the challenge of validating the logical correctness of the design in a timely fashion was indeed a daunting one." He hired a team of 60 recent graduates to help with testing and validation.


Processor cores

Pentium 4 processors have an integrated heat spreader (IHS) that prevents the die from accidentally being damaged when mounting and unmounting cooling solutions. Prior to the IHS, a CPU shim was sometimes used by people worried about damaging the core. Overclockers sometimes removed the IHS from Socket 423 and Socket 478 chips to allow for more direct heat transfer. On Socket 478 Prescott processors and processors using the Socket LGA 775 (Socket T) interface, the IHS is directly soldered to the die or dies, making it difficult to remove.


Willamette

Willamette, the project codename for the first NetBurst microarchitecture implementation, experienced long delays in the completion of its design process. The project was started in 1998, when Intel saw the Pentium II as their permanent line. At that time, the Willamette core was expected to operate at frequencies up to about 1 GHz. However, the
Pentium III The Pentium III (marketed as Intel Pentium III Processor, informally PIII or P3) brand refers to Intel's 32-bit x86 desktop and mobile CPUs based on the sixth-generation P6 microarchitecture introduced on February 28, 1999. The brand's initia ...
was released while Willamette was still being finished. Due to the radical differences between the P6 and NetBurst microarchitectures, Intel could not market Willamette as a Pentium III, so it was marketed as the Pentium 4. On November 20, 2000, Intel released the Willamette-based Pentium 4 clocked at 1.4 and 1.5 GHz. Most industry experts regarded the initial release as a stopgap product, introduced before it was truly ready. According to these experts, the Pentium 4 was released because the competing Thunderbird-based
AMD Athlon Athlon is the brand name applied to a series of x86, x86-compatible microprocessors designed and manufactured by Advanced Micro Devices (AMD). The original Athlon (now called Athlon Classic) was the first seventh-generation x86 processor and th ...
was outperforming the aging Pentium III, and further improvements to the Pentium III were not yet possible. This Pentium 4 was produced using a 180 nm process and initially used
Socket 423 Socket 423 is a 423-pin CPU socket used by the first generation of Pentium 4 Central processing unit, processors, based on the Willamette core. It was replaced by Socket 478 in 2001. Technical specifications This socket houses any processor desig ...
(also called socket W, for "Willamette"), with later revisions moving to
Socket 478 Socket 478, also known as mPGA478 or mPGA478B, is a 478-contact CPU socket used for Intel's Pentium 4 and Celeron series CPUs. Socket 478 was launched in August 2001 in advance of the Northwood core to compete with AMD's 462-pin Socket A a ...
(socket N, for "Northwood"). These variants were identified by the Intel product codes 80528 and 80531 respectively. On the test bench, the Willamette was somewhat disappointing to analysts in that not only was it unable to outperform the Athlon and the highest-clocked Pentium IIIs in all testing situations, but it was not superior to the budget segment's AMD Duron. Although introduced at prices of $644 (1.4 GHz) and $819 (1.5 GHz) for 1000 quantities to OEM PC manufacturers (prices for models for the consumer market varied by retailer), it sold at a modest but respectable rate, handicapped somewhat by the requirement for relatively fast yet expensive
Rambus Rambus Incorporated, founded in 1990, is an American technology company that designs, develops and licenses chip interface technologies and architectures that are used in digital electronics products. The company is well known for inventing ...
Dynamic RAM (
RDRAM Rambus DRAM (RDRAM), and its successors Concurrent Rambus DRAM (CRDRAM) and Direct Rambus DRAM (DRDRAM), are types of synchronous dynamic random-access memory (SDRAM) developed by Rambus from the 1990s through to the early 2000s. The third-generati ...
). The Pentium III remained Intel's top selling processor line, with the Athlon also selling slightly better than the Pentium 4. While Intel bundled two RDRAM modules with each boxed Pentium 4, it did not facilitate Pentium 4 sales and was not considered a true solution by many. In January 2001, a still slower 1.3 GHz model was added to the range, but over the next twelve months, Intel gradually started reducing AMD's leadership in performance. In April 2001 a 1.7 GHz Pentium 4 was launched, the first model to provide performance clearly superior to the old Pentium III. July saw 1.6 and 1.8 GHz models and in August 2001, Intel released 1.9 and 2 GHz Pentium 4s. In the same month, they released the 845 chipset that supported much cheaper PC133
SDRAM Synchronous dynamic random-access memory (synchronous dynamic RAM or SDRAM) is any DRAM where the operation of its external pin interface is coordinated by an externally supplied clock signal. DRAM integrated circuits (ICs) produced from the ...
instead of RDRAM. The fact that SDRAM was so much cheaper caused the Pentium 4's sales to grow considerably. The new chipset allowed the Pentium 4 to quickly replace the Pentium III, becoming the top-selling mainstream processor on the market. The Willamette code name is derived from the
Willamette Valley The Willamette Valley ( ) is a long valley in Oregon, in the Pacific Northwest region of the United States. The Willamette River flows the entire length of the valley and is surrounded by mountains on three sides: the Cascade Range to the eas ...
region of
Oregon Oregon () is a U.S. state, state in the Pacific Northwest region of the Western United States. The Columbia River delineates much of Oregon's northern boundary with Washington (state), Washington, while the Snake River delineates much of it ...
, where a large number of
Intel Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California. It is the world's largest semiconductor chip manufacturer by revenue, and is one of the developers of the x86 ser ...
's manufacturing facilities are located.


Northwood

In January 2002, Intel released Pentium 4s with a new core code named "Northwood" at speeds of 1.6 GHz, 1.8 GHz, 2 GHz and 2.2 GHz.Wasson, Scott and Brown, Andrew
Pentium 4 'Northwood' 2.2 GHz vs. Athlon XP 2000+
January 7, 2002.
''Northwood'' (product code 80532) combined an increase in the L2 cache size from 256 KB to 512 KB (increasing the transistor count from 42 million to 55 million) with a transition to a new 130 nm fabrication process. Making the processor out of smaller transistors means that it can run at higher clock speeds and produce less heat. In the same month boards utilizing the 845 chipset were released with enabled support for
DDR SDRAM Double Data Rate Synchronous Dynamic Random-Access Memory (DDR SDRAM) is a double data rate (DDR) synchronous dynamic random-access memory (SDRAM) class of memory integrated circuits used in computers. DDR SDRAM, also retroactively called DDR1 ...
which provided double the bandwidth of PC133 SDRAM, and alleviated the associated high costs of using Rambus RDRAM for maximal performance with Pentium 4. A 2.4 GHz Pentium 4 was released on April 2, 2002, and the bus speed increased from 400 
MT/s In computer technology, transfers per second and its more common secondary terms gigatransfers per second (abbreviated as GT/s) and megatransfers per second (MT/s) are informal language that refer to the number of operations transferring data that ...
to 533 MT/s (133 MHz physical clock) for the 2.26 GHz, 2.4 GHz, and 2.53 GHz models in May, 2.66 GHz and 2.8 GHz models in August, and 3.06 GHz model in November. With Northwood, the Pentium 4 came of age. The battle for performance leadership remained competitive (as AMD introduced faster versions of the Athlon XP) but most observers agreed that the fastest-clocked Northwood-based Pentium 4 was usually ahead of its rival. This was particularly so in mid-2002, when AMD's changeover to its 130 nm production process did not help the initial "Thoroughbred A" revision Athlon XP CPUs to clock high enough to overcome the advantages of Northwood in the 2.4 to 2.8 GHz range. The 3.06 GHz Pentium 4 enabled
Hyper-Threading Hyper-threading (officially called Hyper-Threading Technology or HT Technology and abbreviated as HTT or HT) is Intel's proprietary simultaneous multithreading (SMT) implementation used to improve parallelization of computations (doing multipl ...
Technology that was first supported in Foster-based Xeons. This began the convention of virtual processors (or virtual cores) under x86 by enabling multiple threads to be run at the same time on the same physical processor. By shuffling two (ideally differing) program instructions to simultaneously execute through a single physical processor core, the goal is to best utilize processor resources that would have otherwise been unused from the traditional approach of having these single instructions wait for each other to execute singularly through the core. This initial 3.06 GHz 533FSB Pentium 4 Hyper-Threading enabled processor was known as Pentium 4 HT and was introduced to mass market by Gateway in November 2002. On April 14, 2003, Intel officially launched the new Pentium 4 HT processor. This processor used an 800 MT/s FSB (200 MHz physical clock), was clocked at 3 GHz, and had Hyper-Threading technology. This was meant to help the Pentium 4 better compete with AMD's
Opteron Opteron is AMD's x86 former server and workstation processor line, and was the first processor which supported the AMD64 instruction set architecture (known generically as x86-64 or AMD64). It was released on April 22, 2003, with the ''Sledg ...
line of processors. The server-oriented Opteron initially did not share a common socket with AMD's desktop processor line (Socket A). Because of this, motherboard manufacturers did not initially build motherboards with AGP for Opterons. As AGP was the primary graphics expansion port for desktop use, this oversight prevented the Opteron from encroaching from the server market and threatening the Pentium 4 desktop market. Meanwhile, with the launch of the Athlon XP 3200+ in AMD's desktop line, AMD increased the Athlon XP's FSB speed from 333 MT/s to 400 MT/s, but it was not enough to hold off the new 3 GHz Pentium 4 HT. The Pentium 4 HT's increase to a 200 MHz quad-pumped bus (200 x 4 = 800 MHz effective) greatly helped to satisfy the bandwidth requirements the Netburst architecture desired for reaching optimal performance. While the Athlon XP architecture was less dependent on bandwidth, the bandwidth numbers reached by Intel were well out of range for the Athlon's EV6 bus. Hypothetically, EV6 could have achieved the same bandwidth numbers, but only at speeds unreachable at the time. Intel's higher bandwidth proved useful in benchmarks for streaming operations, and Intel marketing wisely capitalized on this as a tangible improvement over AMD's desktop processors. Northwood 2.4 GHz, 2.6 GHz and 2.8 GHz variants were released on May 21, 2003. A 3.2 GHz variant was launched on June 23, 2003 and the final 3.4 GHz version arrived on February 2, 2004. Overclocking early stepping Northwood cores yielded a startling phenomenon. While core
voltage Voltage, also known as electric pressure, electric tension, or (electric) potential difference, is the difference in electric potential between two points. In a static electric field, it corresponds to the work needed per unit of charge to ...
approaching 1.7 V and above would often allow substantial additional gains in overclocking headroom, the processor would slowly (over several months or even weeks) become more unstable over time with a degradation in maximum stable clock speed before dying and becoming totally unusable. This became known as ''Sudden Northwood Death Syndrome'' (SNDS), which was caused by
electromigration Electromigration is the transport of material caused by the gradual movement of the ions in a conductor due to the momentum transfer between conducting electrons and diffusing metal atoms. The effect is important in applications where high dir ...
.


Pentium 4-M

Also based on the Northwood core, the ''Mobile Intel Pentium 4 Processor - M'' was released on April 23, 2002 and included Intel's
SpeedStep Enhanced SpeedStep is a series of dynamic frequency scaling technologies (codenamed Geyserville and including SpeedStep, SpeedStep II, and SpeedStep III) built into some Intel microprocessors that allow the clock speed of the processor to be dyna ...
and Deeper Sleep technologies. Intel's naming conventions made it difficult at the time of the processor's release to identify the processor model. There was the
Pentium III The Pentium III (marketed as Intel Pentium III Processor, informally PIII or P3) brand refers to Intel's 32-bit x86 desktop and mobile CPUs based on the sixth-generation P6 microarchitecture introduced on February 28, 1999. The brand's initia ...
mobile chip, the Mobile Pentium 4-M, the Mobile Pentium 4, and then just the
Pentium M The Pentium M is a family of mobile 32-bit single-core x86 microprocessors (with the modified Intel P6 microarchitecture) introduced in March 2003 and forming a part of the Intel Carmel notebook platform under the then new Centrino brand. The ...
which itself was based on the Pentium III and significantly faster than the former three. Its TDP is about 35 watts in most applications. This lowered power consumption was due to lowered core voltage, and other features mentioned previously. Unlike the desktop Pentium 4, the Pentium 4-M did not feature an integrated heat spreader (IHS), and it operates at a lower voltage. The lower voltage means lower power consumption, and in turn less heat. However, according to Intel specifications, the Pentium 4-M had a maximum thermal
junction temperature Junction temperature, short for transistor junction temperature, is the highest operating temperature of the actual semiconductor in an electronic device. In operation, it is higher than case temperature and the temperature of the part's exterior. T ...
rating of 100 degrees C, approximately 40 degrees higher than the desktop Pentium 4.


Mobile Pentium 4

The ''Mobile Intel Pentium 4 Processor'' was released to address the problem of putting a full desktop Pentium 4 processor into a laptop, which some manufacturers were doing. The Mobile Pentium 4 used a 533 MT/s FSB, following the desktop Pentium 4's evolution. Oddly, increasing the bus speed by 133 MT/s (33 MHz) caused a massive increase in TDPs, as mobile Pentium 4 processors emitted 59.8–70 W of heat, with the Hyper-Threading variants emitting 66.1–88 W. This allowed the mobile Pentium 4 to bridge the gap between the desktop Pentium 4 (up to 115 W TDP), and the Pentium 4-M (up to 35 W TDP).


Northwood (Extreme Edition)

In September 2003, at the Intel Developer Forum, the Pentium 4 Extreme Edition (P4EE) was announced, just over a week before the launch of
Athlon 64 The Athlon 64 is a ninth-generation, AMD64-architecture microprocessor produced by Advanced Micro Devices (AMD), released on September 23, 2003. It is the third processor to bear the name ''Athlon'', and the immediate successor to the Athlon XP. T ...
and Athlon 64 FX. The design was mostly identical to Pentium 4 (to the extent that it would run in the same motherboards), but differed by an added 2 MB of level 3 cache. It shared the same Gallatin core as the Xeon MP, though in a Socket 478 form factor (as opposed to Socket 603 for the Xeon MP) and with an 800 MT/s bus, twice as fast as that of the Xeon MP. While Intel maintained that the Extreme Edition was aimed at gamers, critics viewed it as an attempt to steal the Athlon 64's launch thunder, nicknaming it the "Emergency Edition". With a price tag of $999, it was also referred to as the "Expensive Edition" and "Extremely Expensive". The added cache generally resulted in a noticeable performance increase in most processor intensive applications. Multimedia encoding and certain games benefited the most, with the Extreme Edition outperforming the Pentium 4, and even the two Athlon 64 variants, although the lower price and more balanced performance of the Athlon 64 (particularly the non-FX version) led to it usually being seen as the better value proposition. Nonetheless, the Extreme Edition did achieve Intel's apparent aim, which was to prevent AMD from being the performance champion with the new Athlon 64, which was winning every single major benchmark over the existing Pentium 4s. In January 2004, a 3.4 GHz version was released for Socket 478, and in Summer 2004 the CPU was released using the new Socket 775. A slight performance increase was achieved in late 2004 by increasing the bus speed from 800 MT/s to 1066 MT/s, resulting in a 3.46 GHz Pentium 4 Extreme Edition. By most metrics, this was on a per-clock basis the fastest single-core NetBurst processor that was ever produced, even outperforming many of its successor chips (not counting the dual-core Pentium D). Afterwards, the Pentium 4 Extreme Edition was migrated to the Prescott core. The new 3.73 GHz Extreme Edition had the same features as a 6x0-sequence Prescott 2M, but with a 1066 MT/s bus. In practice however, the 3.73 GHz Pentium 4 Extreme Edition almost always proved to be slower than the 3.46 GHz Pentium 4 Extreme Edition, which is most likely due to the lack of an L3 cache and the longer instruction pipeline. The only advantage the 3.73 GHz Pentium 4 Extreme Edition had over the 3.46 GHz Pentium 4 Extreme Edition was the ability to run 64-bit applications since all Gallatin-based Pentium 4 Extreme Edition processors lacked the Intel 64 instruction set. Although never a particularly good seller, especially since it was released in a time when AMD was asserting near total dominance in the processor performance race, the Pentium 4 Extreme Edition established a new position within Intel's product line, that of an enthusiast oriented chip with the highest-end specifications offered by Intel chips, along with unlocked multipliers to allow for easier overclocking. In this role it has since been succeeded by the Pentium Extreme Edition (The Extreme version of the dual-core
Pentium D Pentium D is a range of desktop 64-bit x86-64 processors based on the NetBurst microarchitecture, which is the dual-core variant of the Pentium 4 manufactured by Intel. Each CPU comprised two dies, each containing a single core, residing next to ...
), the
Core 2 Extreme Intel Core is a line of streamlined midrange consumer, workstation and enthusiast computer central processing units (CPUs) marketed by Intel Corporation. These processors displaced the existing mid- to high-end Pentium processors at the time o ...
, the
Core i7 The following is a list of Intel Core i7 brand microprocessors. Introduced in 2008, the Core i7 line of microprocessors are intended to be used by high-end users. Desktop processors Nehalem microarchitecture (1st generation) "Bloomfield" ...
and most recently, the
Core i9 The following is a list of Intel Core i9 brand microprocessors. They were introduced in May 2017 for LGA 2066 chips, also known as Intel Core X-series processors. With their high number of cores, high power draw, high thermal output, and high pe ...
. Contrary to popular belief however, the Pentium 4 Extreme Edition for Socket 478 has a locked multiplier. Only the Pentium Extreme Edition and Engineering Sample CPUs are unlocked.


Prescott

On February 1, 2004, Intel introduced a new core codenamed "Prescott". The core used the
90 nm process The 90  nm process refers to the level of MOSFET ( CMOS) fabrication process technology that was commercialized by the 2003–2005 timeframe, by leading semiconductor companies like Toshiba, Sony, Samsung, IBM, Intel, Fujitsu, TSMC, ...
for the first time, which one analyst described as "a major reworking of the Pentium 4's microarchitecture." Despite this overhaul, the performance gains were inconsistent. Some programs benefited from Prescott's doubled cache and SSE3 instructions, whereas others were harmed by its longer pipeline. The Prescott's microarchitecture allowed slightly higher clock speeds, but not nearly as high as Intel had anticipated. The fastest mass-produced Prescott-based Pentium 4s were clocked at 3.8 GHz. While Northwood ultimately achieved clock speeds 70% higher than Willamette, Prescott only scaled 12% beyond Northwood. Prescott's inability to achieve greater clock speeds was attributed to the very high power consumption and heat output of the processor. This led to the processor receiving the nickname "PresHot" on forums. In fact, Prescott's power and heat characteristics were only slightly higher than those of Northwood of the same speed and nearly equal to the Gallatin-based Extreme Editions, but since those processors had already been operating near the limits of what was considered thermally acceptable, this still posed a major issue. The "Prescott" Pentium 4 contains 125 million transistors and has a die area of 112 mm2.Glaskowsky, Peter N. (2 February 2004). "Prescott Pushes Pipelining Limits". ''
Microprocessor Report ''Microprocessor Report'' is a newsletter covering the microprocessor industry. The publication is accessible only to paying subscribers. To avoid bias, it does not take advertisements. The publication provides extensive analysis of new high-perfo ...
''.
It was fabricated in a 90 nm process with seven levels of
copper interconnect In semiconductor technology, copper interconnects are interconnects made of copper. They are used in silicon integrated circuits (ICs) to reduce propagation delays and power consumption. Since copper is a better conductor than aluminium, ICs u ...
. The process has features such as
strained silicon Strained silicon is a layer of silicon in which the silicon atoms are stretched beyond their normal interatomic distance. This can be accomplished by putting the layer of silicon over a substrate of silicon–germanium (). As the atoms in the si ...
transistors and Low-κ carbon-doped silicon oxide (CDO)
dielectric In electromagnetism, a dielectric (or dielectric medium) is an electrical insulator that can be polarised by an applied electric field. When a dielectric material is placed in an electric field, electric charges do not flow through the ma ...
, which is also known as organosilicate glass (OSG). The Prescott was first fabricated at the D1C development fab and was later moved to F11X production fab. Originally, Intel released two Prescott lines on Socket 478: the E-series, with an 800 MT/s FSB and
Hyper-Threading Hyper-threading (officially called Hyper-Threading Technology or HT Technology and abbreviated as HTT or HT) is Intel's proprietary simultaneous multithreading (SMT) implementation used to improve parallelization of computations (doing multipl ...
support, and the low-end A-series, with a 533 MT/s FSB and Hyper-Threading disabled. LGA 775 Prescott CPUs use a rating system, labeling them as the 5xx series (Celeron Ds are the 3xx series, while Pentium Ms are the 7xx series). The LGA 775 version of the E-series uses model numbers 5x0 (520–560), and the LGA 775 version of the A-series uses model numbers 5x5 and 5x9 (505–519). The fastest, the 570J and 571, is clocked at 3.8 GHz. Plans to mass-produce a 4 GHz Pentium 4 were cancelled by Intel in favor of dual core processors, although some European retailers claimed to be selling a Pentium 4 580, clocked at 4 GHz. The E-series Prescott, as well as the low-end 517 and 524, incorporates Hyper-Threading in order to speed up some processes that use multithreaded software, such as video editing. The Prescott microarchitecture was designed to support Intel 64, Intel's implementation of the AMD-developed
x86-64 x86-64 (also known as x64, x86_64, AMD64, and Intel 64) is a 64-bit version of the x86 instruction set, first released in 1999. It introduced two new modes of operation, 64-bit mode and compatibility mode, along with a new 4-level paging ...
64-bit extensions to the x86 architecture, but the initial models shipped with their 64-bit capability disabled. Intel stated that it did not intend to release 64-bit CPUs in retail channels, instead releasing the 64-bit capable F-series to OEMs only. However, they were later made available to the general public as the 5x1 series. A number of low-end Intel 64-enabled Prescotts, with 533 MHz FSB speed, were also released. The E0 stepping of the Prescott series introduced the
XD bit The NX bit (no-execute) is a technology used in CPUs to segregate areas of memory for use by either storage of processor instructions or for storage of data, a feature normally only found in Harvard architecture processors. However, the NX bit is ...
feature. This technology, introduced to the x86 architecture by AMD as NX (No eXecute), can help prevent certain types of malicious code from exploiting a
buffer overflow In information security and programming, a buffer overflow, or buffer overrun, is an anomaly whereby a program, while writing data to a buffer, overruns the buffer's boundary and overwrites adjacent memory locations. Buffers are areas of memo ...
to get executed. Models supporting XD bit include the 5x0J and 5x1 series as well as the low-end 5x5J and 5x6.


Prescott 2M (Extreme Edition)

Intel, by the first quarter of 2005, released a new Prescott core with 6x0 numbering, codenamed "''Prescott 2M''". ''Prescott 2M'' is also sometimes known by the name of its
Xeon Xeon ( ) is a brand of x86 microprocessors designed, manufactured, and marketed by Intel, targeted at the non-consumer workstation, server, and embedded system markets. It was introduced in June 1998. Xeon processors are based on the same ar ...
derivative, "''Irwindale''". It features Hyper-Threading,
Intel 64 x86-64 (also known as x64, x86_64, AMD64, and Intel 64) is a 64-bit version of the x86 instruction set, first released in 1999. It introduced two new modes of operation, 64-bit mode and compatibility mode, along with a new 4-level paging mo ...
, the XD bit, EIST (Enhanced Intel SpeedStep Technology),
Thermal Monitor 2 Thermal Monitor 2 (TM2) is a throttling control method used on LGA 775 versions of the Core 2, Pentium Dual-Core, Pentium D, Pentium 4 and Celeron processors and also on the Pentium M series of processors. TM2 reduces processor temperature by lowe ...
(for processors at 3.6 GHz and above), and 2 MB of L2 cache. However, AnandTech found that this resulted in 17% higher cache latency compared to Prescott, which combined with the lack of consumer-targeted programs requiring more cache, largely negated the advantage that added cache introduced. Rather than being a targeted speed boost the double size cache was intended to provide the same space and hence performance for 64-bit mode operations, due to the doubled
word size In computing, a word is the natural unit of data used by a particular processor design. A word is a fixed-sized datum handled as a unit by the instruction set or the hardware of the processor. The number of bits or digits in a word (the ''word s ...
compared to 32-bit mode. On November 14, 2005, Intel released Prescott 2M processors with VT (
Virtualization In computing, virtualization or virtualisation (sometimes abbreviated v12n, a numeronym) is the act of creating a virtual (rather than actual) version of something at the same abstraction level, including virtual computer hardware platforms, stor ...
Technology, codenamed "Vanderpool") enabled. Intel only released two models of this Prescott 2M category: 662 and 672, running at 3.6 GHz and 3.8 GHz, respectively.


Cedar Mill

The final revision of the Pentium 4 was ''Cedar Mill'', released on January 5, 2006. This was a die shrink of the Prescott-based 600 series core to
65 nm The 65  nm process is an advanced lithographic node used in volume CMOS (MOSFET) semiconductor fabrication. Printed linewidths (i.e. transistor gate lengths) can reach as low as 25 nm on a nominally 65 nm process, while the pitch ...
, with no real feature additions but significantly reduced power consumption. The Cedar Mill is closely linked to the Pentium D Presler revision, with each Presler CPU consisting of two Cedar Mill cores on the same chip package. Cedar Mill had a lower heat output than Prescott, with a TDP of 86 W. The D0 stepping in late 2006 reduced this to 65 watts. It has a 65 nm core and features the same 31-stage pipeline as Prescott, 800 MT/s FSB,
Intel 64 x86-64 (also known as x64, x86_64, AMD64, and Intel 64) is a 64-bit version of the x86 instruction set, first released in 1999. It introduced two new modes of operation, 64-bit mode and compatibility mode, along with a new 4-level paging mo ...
,
Hyper-Threading Hyper-threading (officially called Hyper-Threading Technology or HT Technology and abbreviated as HTT or HT) is Intel's proprietary simultaneous multithreading (SMT) implementation used to improve parallelization of computations (doing multipl ...
, but no
Virtualization In computing, virtualization or virtualisation (sometimes abbreviated v12n, a numeronym) is the act of creating a virtual (rather than actual) version of something at the same abstraction level, including virtual computer hardware platforms, stor ...
Technology. As with Prescott 2M, Cedar Mill also has a 2 MB L2 cache. Intel initially announced four VT-x enabled Cedar Mill processors with model numbers 633 to 663, but these were later cancelled and replaced by models 631 to 661 without VT-x, the extra 1 added to the model number distinguishing them from the 90 nm Prescott cores operating at the same frequencies. Cedar Mill processors ranged in frequency from 3.0 to 3.6 GHz, down from the 3.8 GHz maximum of the Prescott-based 670 and 672. Overclockers managed to exceed 8 GHz with these processors using liquid nitrogen cooling. The name "Cedar Mill" refers to
Cedar Mill, Oregon Cedar Mill is a suburb in the Portland, Oregon metropolitan area of the United States; it is a census-designated place and an unincorporated community in Washington County, north of U.S. Route 26 and west of the Willamette Stone. It received it ...
, an
unincorporated community An unincorporated area is a region that is not governed by a local municipal corporation. Widespread unincorporated communities and areas are a distinguishing feature of the United States and Canada. Most other countries of the world either have ...
near Intel's
Hillsboro, Oregon Hillsboro ( ) is the fifth-largest city in the U.S. state of Oregon and is the county seat of Washington County. Situated in the Tualatin Valley on the west side of the Portland metropolitan area, the city hosts many high-technology companie ...
facilities.


Successor

The original successor to the Pentium 4 was (codenamed) Tejas, which was scheduled for an early-mid-2005 release. However, it was cancelled a few months after the release of Prescott due to extremely high TDPs (a 2.8 GHz Tejas emitted 150 W of heat, compared to around 80 W for a Northwood of the same speed, and 100 W for a comparably clocked Prescott) and development on the NetBurst microarchitecture as a whole ceased, with the exception of the dual-core Pentium D, Pentium Extreme Edition and the Cedar Mill-based Pentium 4 HT. Since May 2005, Intel has released dual-core processors under the
Pentium D Pentium D is a range of desktop 64-bit x86-64 processors based on the NetBurst microarchitecture, which is the dual-core variant of the Pentium 4 manufactured by Intel. Each CPU comprised two dies, each containing a single core, residing next to ...
and Pentium Extreme Edition brands. These came under the code names Smithfield and Presler for the 90 nm and 65 nm parts respectively. The real successor to the Pentium 4 brand is the
Intel Core 2 Intel Core 2 is the processor family encompassing a range of Intel's consumer 64-bit x86-64 single-, dual-, and quad-core microprocessors based on the Core microarchitecture. The single- and dual-core models are single-die, whereas the quad-cor ...
brand, which merged with
Pentium D Pentium D is a range of desktop 64-bit x86-64 processors based on the NetBurst microarchitecture, which is the dual-core variant of the Pentium 4 manufactured by Intel. Each CPU comprised two dies, each containing a single core, residing next to ...
, released on July 27, 2006. The underlying microarchitecture is the Core microarchitecture, and the first chips implementing it (in 65 nm) are called " Conroe". Intel Core 2 processors have been released as single, dual and quad core processors. Processors implementing the Core microarchitecture were marketed under the "Core 2"-brand, because processors based on the Yonah-microarchitecture had already been marketed under the Core-brand.


See also

* Intel Celeron (NetBurst-based) *
List of Intel Pentium processors The Intel Pentium brand is a line of mainstream x86-architecture microprocessors from Intel. Processors branded Pentium Processor with MMX Technology (and referred to as Pentium MMX for brevity) are also listed here. Desktop processors P5 ...
*
List of Intel Pentium 4 processors The Pentium 4 is a seventh-generation CPU from Intel targeted at the consumer market. It is based on the NetBurst microarchitecture. Desktop processors Pentium 4 Willamette (180 nm) * Intel Family 15 Model 1 * All models support: ' ...
* List of Intel processors


Notes and references


External links


The future of Prescott: when Moore gives you lemons...
at Ars Technica




Inside Pentium 4 Architecture

The Microarchitecture of the Pentium 4 Processor

P4 FPU's sensitive Denormalisation threshold and its effect on real-time audio processing
{{Intel processors, netburst Intel x86 microprocessors 2000 in computing Computer-related introductions in 2000 32-bit microprocessors