Atomic layer deposition
   HOME

TheInfoList



OR:

Atomic layer deposition (ALD) is a thin-film deposition technique based on the sequential use of a gas-phase chemical process; it is a subclass of chemical vapour deposition. The majority of ALD reactions use two chemicals called
precursor Precursor or Precursors may refer to: * Precursor (religion), a forerunner, predecessor ** The Precursor, John the Baptist Science and technology * Precursor (bird), a hypothesized genus of fossil birds that was composed of fossilized parts of u ...
s (also called "reactants"). These precursors react with the surface of a material one at a time in a sequential, self-limiting, manner. A thin film is slowly deposited through repeated exposure to separate precursors. ALD is a key process in fabricating semiconductor devices, and part of the set of tools for synthesising
nanomaterials * Nanomaterials describe, in principle, materials of which a single unit is sized (in at least one dimension) between 1 and 100 nm (the usual definition of nanoscale). Nanomaterials research takes a materials science-based approach to n ...
.


Introduction

During atomic layer deposition a film is grown on a substrate by exposing its surface to alternate gaseous species (typically referred to as
precursor Precursor or Precursors may refer to: * Precursor (religion), a forerunner, predecessor ** The Precursor, John the Baptist Science and technology * Precursor (bird), a hypothesized genus of fossil birds that was composed of fossilized parts of u ...
s or reactants). In contrast to chemical vapor deposition, the precursors are never present simultaneously in the reactor, but they are inserted as a series of sequential, non-overlapping pulses. In each of these pulses the precursor molecules react with the surface in a self-limiting way, so that the reaction terminates once all the reactive sites on the surface are consumed. Consequently, the maximum amount of material deposited on the surface after a single exposure to all of the precursors (a so-called ALD cycle) is determined by the nature of the precursor-surface interaction. By varying the number of cycles it is possible to grow materials uniformly and with high precision on arbitrarily complex and large substrates. ALD is considered one deposition method with great potential for producing very thin, conformal films with control of the thickness and composition of the films possible at the atomic level. A major driving force for the recent interest is the prospective seen for ALD in scaling down microelectronic devices according to Moore's law. ALD is an active field of research, with hundreds of different processes published in the scientific literature, though some of them exhibit behaviors that depart from that of an ideal ALD process. Currently there are several comprehensive review papers that give a summary of the published ALD processes, including the work of Puurunen, Miikkulainen ''et al.'', Knoops ''et al.'', and Mackus & Schneider ''et al.''. An interactive, community driven database of ALD processes is also available online which generates an up-to-date overview in the form of an annotated periodic table. The sister technique of atomic layer deposition, molecular layer deposition (MLD), is employed when organic precursors are wished to be used. By combining the ALD/MLD techniques, it is possible to make highly conformal and pure hybrid films for many applications.


History


60s

In the 1960s, Stanislav Koltsov together with Valentin Aleskovsky and colleagues experimentally developed the principles of ALD at Leningrad Technological Institute (LTI) in the
Soviet Union The Soviet Union,. officially the Union of Soviet Socialist Republics. (USSR),. was a transcontinental country that spanned much of Eurasia from 1922 to 1991. A flagship communist state, it was nominally a federal union of fifteen nationa ...
. The purpose was to experimentally build upon the theoretical considerations of the "framework hypothesis" coined by Aleskovsky in his 1952 habilitation thesis. The experiments started with metal chloride reactions and water with porous silica, soon extending to other substrate materials and planar thin films. Aleskovskii and Koltsov together proposed the name "Molecular Layering" for the new technique in 1965. The principles of Molecular Layering were summarized in the doctoral thesis ("professor's thesis") of Koltsov in 1971. Research activities of molecular layering covered a broad scope, from fundamental chemistry research to applied research with porous catalysts, sorbents and fillers to microelectronics and beyond.Aleskovskii, V. B. Zh. Prikl. Khim. 47, 2145 (1974); . Appl. Chem. USSR. 47, 2207, (1974) In 1974, when starting the development of thin-film electroluminescent displays (TFEL) at Instrumentarium Oy in
Finland Finland ( fi, Suomi ; sv, Finland ), officially the Republic of Finland (; ), is a Nordic country in Northern Europe. It shares land borders with Sweden to the northwest, Norway to the north, and Russia to the east, with the Gulf of Bot ...
, Tuomo Suntola devised ALD as an advanced thin-film technology.Suntola, T. and Antson, J. (1977) "Method for producing compound thin films" Suntola named it atomic layer epitaxy (ALE) based on the meaning of "epitaxy" in Greek language, "arrangement upon". The first experiments were made with elemental Zn and S to grow ZnS. ALE as a means for growth of thin films was internationally patented in more than 20 countries. A breakthrough occurred, when Suntola and co-workers switched from high vacuum reactors to inert gas reactors which enabled the use of compound reactants like metal chlorides, hydrogen sulfide and water vapor for performing the ALE process. The technology was first disclosed in 1980 SID conference. The TFEL display prototype presented consisted of a ZnS layer between two aluminum oxide dielectric layers, all made in an ALE process using ZnCl2 + H2S and AlCl3 + H2O as the reactants. The first large-scale proof-of-concept of ALE-EL displays were the flight information boards installed in the Helsinki-Vantaa airport in 1983. TFEL flat panel display production started in the mid-1980s by Lohja Oy in the Olarinluoma factory. Academic research on ALE started in Tampere University of Technology (where Suntola gave lectures on electron physics) in 1970s, and in 1980s at Helsinki University of Technology. TFEL display manufacturing remained until the 1990s the only industrial application of ALE. In 1987, Suntola started the development of the ALE technology for new applications like photovoltaic devices and
heterogeneous catalyst In chemistry, heterogeneous catalysis is catalysis where the phase of catalysts differs from that of the reactants or products. The process contrasts with homogeneous catalysis where the reactants, products and catalyst exist in the same phase. ...
s in Microchemistry Ltd., established for that purpose by the Finnish national oil company Neste Oy. In the 1990s, ALE development in Microchemistry was directed to semiconductor applications and ALE reactors suitable for silicon wafer processing. In 1999, Microchemistry Ltd. and the ALD technology were sold to the Dutch ASM International, a major supplier of semiconductor manufacturing equipment and Microchemistry Ltd. became ASM Microchemistry Oy as ASM's Finnish daughter company. Microchemistry Ltd/ASM Microchemistry Ltd was the only manufacturer of commercial ALD-reactors in the 1990s. In the early 2000s, the expertise on ALD reactors in Finland triggered two new manufacturers, Beneq Oy and Picosun Oy, the latter started by Sven Lindfors, Suntola's close coworker since 1975. The number of reactor manufacturers increased rapidly and semiconductor applications became the industrial breakthrough of the ALD technology, as ALD became an enabling technology for the continuation of Moore's law. In 2004, Tuomo Suntola received the European
SEMI SEMI is an industry association comprising companies involved in the electronics design and manufacturing supply chain. They provide equipment, materials and services for the manufacture of semiconductors, photovoltaic panels, LED and flat panel ...
award for the development of the ALD technology for semiconductor applications and in 2018 the Millennium Technology Prize. The developers of ML and ALE met at the 1st international conference on atomic layer epitaxy, "ALE-1" in Espoo, Finland, 1990. An attempt to expose the extent of molecular layering works was made in a scientific ALD review article in 2005 and later in the VPHA-related publications. The name "atomic layer deposition" was apparently proposed for the first time in writing as an alternative to ALE in analogy with CVD by Markku Leskelä (professor at the
University of Helsinki The University of Helsinki ( fi, Helsingin yliopisto, sv, Helsingfors universitet, abbreviated UH) is a public research university located in Helsinki, Finland since 1829, but founded in the city of Turku (in Swedish ''Åbo'') in 1640 as the R ...
) at the ALE-1 conference, Espoo, Finland. It took about a decade, before the name gained general acceptance with the onset of the international conference series on ALD by
American Vacuum Society AVS: Science and Technology of Materials, Interfaces, and ProcessingAIP: A Federation of the Physical Sciences
.


00s

In 2000,
Gurtej Singh Sandhu and Trung T. Doan of
Micron Technology Micron Technology, Inc. is an American producer of computer memory and computer data storage including dynamic random-access memory, flash memory, and USB flash drives. It is headquartered in Boise, Idaho. Its consumer products, includin ...
initiated the development of atomic layer deposition high-k films for DRAM memory devices. This helped drive cost-effective implementation of
semiconductor memory Semiconductor memory is a digital electronic semiconductor device used for digital data storage, such as computer memory. It typically refers to devices in which data is stored within metal–oxide–semiconductor (MOS) memory cells on a si ...
, starting with 90-nm
node In general, a node is a localized swelling (a " knot") or a point of intersection (a vertex). Node may refer to: In mathematics * Vertex (graph theory), a vertex in a mathematical graph * Vertex (geometry), a point where two or more curves, line ...
DRAM.
Intel Corporation Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California. It is the world's largest semiconductor chip manufacturer by revenue, and is one of the developers of the x86 serie ...
has reported using ALD to deposit high-κ gate dielectric for its 45 nm CMOS technology. ALD has been developed in two independent discoveries under names atomic layer epitaxy (ALE, Finland) and molecular layering (ML, Soviet Union). To clarify the early history, the Virtual Project on the History of ALD (VPHA) has been set up in summer 2013. it resulted in several publications reviewing the historical development of ALD under the names
ALE Ale is a type of beer brewed using a warm fermentation method, resulting in a sweet, full-bodied and fruity taste. Historically, the term referred to a drink brewed without hops. As with most beers, ale typically has a bittering agent to bala ...
and ML.


Surface reaction mechanisms

In a prototypical ALD process, a substrate is exposed to two reactants A and B in a sequential, non-overlapping way. In contrast to other techniques such as
chemical vapor deposition Chemical vapor deposition (CVD) is a vacuum deposition method used to produce high quality, and high-performance, solid materials. The process is often used in the semiconductor industry to produce thin films. In typical CVD, the wafer (subst ...
(CVD), where thin-film growth proceeds on a steady-state fashion, in ALD each reactant reacts with the surface in a self-limited way: the reactant molecules can react only with a finite number of reactive sites on the surface. Once all those sites have been consumed in the reactor, the growth stops. The remaining reactant molecules are flushed away and only then reactant B is inserted into the reactor. By alternating exposures of A and B, a thin film is deposited. This process is shown in the side figure. Consequently, when describing an ALD process one refers to both dose times (the time a surface is being exposed to a precursor) and purge times (the time left in between doses for the precursor to evacuate the chamber) for each precursor. The dose-purge-dose-purge sequence of a binary ALD process constitutes an ALD cycle. Also, rather than using the concept of growth rate, ALD processes are described in terms of their growth per cycle. In ALD, enough time must be allowed in each reaction step so that a full adsorption density can be achieved. When this happens the process has reached saturation. This time will depend on two key factors: the precursor pressure, and the sticking probability. Therefore, the rate of adsorption per unit of surface area can be expressed as: :: = S*F Where R is the rate of adsorption, S is the sticking probability, and F is the incident molar flux. However, a key characteristic of ALD is the S will change with time, as more molecules have reacted with the surface this sticking probability will become smaller until reaching a value of zero once saturation is reached. The specific details on the reaction mechanisms are strongly dependent on the particular ALD process. With hundreds of process available to deposit oxide, metals, nitrides, sulfides, chalcogenides, and fluoride materials, the unraveling of the mechanistic aspects of ALD processes is an active field of research. Some representative examples are shown below.


Thermal ALD

Thermal ALD requires relatively high temperatures (typically 150–350 °C). It occurs through surface reactions, which enables accurate thickness control no matter the substrate geometry and reactor design. The synthesis of AlO from trimethylaluminum (TMA) and water is one of the best known thermal ALD examples. During the TMA exposure, TMA dissociatively chemisorbs on the substrate surface and any remaining TMA is pumped out of the chamber. The dissociative chemisorption of TMA leaves a surface covered with AlCH. The surface is then exposed to HO vapor, which reacts with the surface –CH forming CH as a reaction byproduct and resulting on a hydroxylated AlO surface.


Plasma ALD

In plasma-assisted ALD (PA-ALD), the high reactivity of the plasma species allows to reduce the deposition temperature without compromising the film quality; also, a wider range of precursors can be used and thus a wider range of materials can be deposited as compared to thermal ALD.


Photo-assisted ALD

In this ALD variety, UV light is used to accelerate surface reactions on the substrate. Hence reaction temperature can be reduced, as in plasma-assisted ALD. As compared to plasma-assisted ALD, the activation is weaker, but is often easier to control by adjusting the wavelength, intensity and timing of illumination.


Metal ALD

Copper metal ALD has attracted much attention due to the demand for copper as an interconnect material and the relative ease by which copper can be deposited thermally. Copper has a positive standard electrochemical potential and is the most easily reduced metal of the first-row transition metals. Thus, numerous ALD processes have been developed, including several using hydrogen gas as the coreactant. Ideally, copper metal ALD should be performed at ≤100 °C to achieve continuous films with low surface roughness, since higher temperatures can result in agglomeration of deposited copper. Some metals can be grown by ALD via fluorosilane elimination reactions using a metal halide and a silicon precursor (e.g. SiH4, Si2H6) as the reactants. These reactions are very exothermic due to the formation of stable Si–F bonds. Metals deposited by fluorosilane elimination include tungsten and molybdenum. As an example, the surface reactions for tungsten metal ALD using WF6 and Si2H6 as the reactants can be expressed as :WSiFH* + WF → WWF* + SiFH :WF* + SiH → WSiFH* + SiFH + 2 H The overall ALD reaction is :WF + SiH → W + SiFH + 2 H, ∆H = –181 kcal The growth rate can vary from 4 to 7 Å/cycle depending on the deposition temperature (177 to 325 °C) and Si2H6 reactant exposure (~104 to 106 L), factors that may influence Si2H6 insertion into Si–H bonds and result in a silicon CVD contribution to the tungsten ALD growth. The thermal ALD of many other metals is challenging (or presently impossible) due to their very negative electrochemical potentials. Recently, the application of novel strong
reducing agent In chemistry, a reducing agent (also known as a reductant, reducer, or electron donor) is a chemical species that "donates" an electron to an (called the , , , or ). Examples of substances that are commonly reducing agents include the Earth met ...
s has led to the first reports of low-temperature thermal ALD processes for several electropositive metals. Chromium metal was deposited using a chromium alkoxide precursor and BH3(NHMe2). Titanium and tin metals were grown from their respective metal chlorides (MCl4, M = Ti, Sn) and a bis( trimethylsilyl) six-membered ring compound. Aluminum metal was deposited using an aluminum dihydride precursor and AlCl3.


Catalytic SiO ALD

The use of catalysts is of paramount importance in delivering reliable methods of SiO ALD. Without catalysts, surface reactions leading to the formation of SiO are generally very slow and only occur at exceptionally high temperatures. Typical catalysts for SiO ALD include Lewis bases such as NH or pyridine and SiO; ALD can also be initiated when these
Lewis bases A Lewis acid (named for the American physical chemist Gilbert N. Lewis) is a chemical species that contains an empty orbital which is capable of accepting an electron pair from a Lewis base to form a Lewis adduct. A Lewis base, then, is any spe ...
are coupled with other silicon precursors such as tetraethoxysilane (TEOS). Hydrogen bonding is believed to occur between the Lewis base and the SiOH* surface species or between the HO based reactant and the Lewis base. Oxygen becomes a stronger nucleophile when the Lewis base hydrogen bonds with the SiOH* surface species because the SiO-H bond is effectively weakened. As such, the electropositive Si atom in the SiCl reactant is more susceptible to nucleophilic attack. Similarly, hydrogen bonding between a Lewis base and an HO reactant make the electronegative O in HO a strong nucleophile that is able to attack the Si in an existing SiCl* surface species. The use of a Lewis base catalyst is more or less a requirement for SiO ALD, as without a Lewis base catalyst, reaction temperatures must exceed 325 °C and pressures must exceed 10 torr. Generally, the most favorable temperature to perform SiO ALD is at 32 °C and a common deposition rate is 1.35 angstroms per binary reaction sequence. Two surface reactions for SiO ALD, an overall reaction, and a schematic illustrating Lewis base catalysis in SiO ALD are provided below. :Primary reactions at surface: ::SiOH* + SiCl → SiOSiCl* + HCl ::SiCl* + HO → SiOH* + HCl :Overall ALD reaction: ::SiCl + 2HO → SiO + 4 HCl


Applications


Microelectronics applications

ALD is a useful process for the fabrication of microelectronics due to its ability to produce accurate thicknesses and uniform surfaces in addition to high quality film production using various different materials. In microelectronics, ALD is studied as a potential technique to deposit high-κ (high permittivity) gate oxides, high-κ memory capacitor dielectrics, ferroelectrics, and metals and nitrides for electrodes and interconnects. In high-κ gate oxides, where the control of ultra thin films is essential, ALD is only likely to come into wider use at the 45 nm technology. In metallizations, conformal films are required; currently it is expected that ALD will be used in mainstream production at the 65 nm node. In dynamic random access memories (DRAMs), the conformality requirements are even higher and ALD is the only method that can be used when feature sizes become smaller than 100 nm. Several products that use ALD include magnetic recording heads,
MOSFET The metal–oxide–semiconductor field-effect transistor (MOSFET, MOS-FET, or MOS FET) is a type of field-effect transistor (FET), most commonly fabricated by the controlled oxidation of silicon. It has an insulated gate, the voltage of which d ...
gate stacks, DRAM capacitors, nonvolatile ferroelectric memories, and many others.


Gate oxides

Deposition of the high-κ oxides Al2O3, ZrO2, and HfO2 has been one of the most widely examined areas of ALD. The motivation for high-κ oxides comes from the problem of high tunneling current through the commonly used SiO2 gate dielectric in MOSFETs when it is downscaled to a thickness of 1.0 nm and below. With the high-κ oxide, a thicker gate dielectric can be made for the required capacitance density, thus the tunneling current can be reduced through the structure.


Transition-metal nitrides

Transition-metal nitrides, such as TiN and TaN, find potential use both as metal barriers and as gate metals. Metal barriers are used to encase the
copper interconnects In semiconductor technology, copper interconnects are interconnects made of copper. They are used in silicon integrated circuits (ICs) to reduce propagation delays and power consumption. Since copper is a better conductor than aluminium, ICs usin ...
used in modern integrated circuits to avoid diffusion of Cu into the surrounding materials, such as insulators and the silicon substrate, and also, to prevent Cu contamination by elements diffusing from the insulators by surrounding every Cu interconnect with a layer of metal barriers. The metal barriers have strict demands: they should be pure; dense; conductive; conformal; thin; have good adhesion towards metals and insulators. The requirements concerning process technique can be fulfilled by ALD. The most studied ALD nitride is TiN which is deposited from TiCl4 and NH3.


Metal films

Motivations of an interest in metal ALD are: # Cu interconnects and W plugs, or at least Cu seed layers for Cu electrodeposition and W seeds for W CVD, # transition-metal nitrides (e.g. TiN, TaN, WN) for Cu interconnect barriers # noble metals for ferroelectric random access memory (FRAM) and DRAM capacitor electrodes # high- and low-
work function In solid-state physics, the work function (sometimes spelt workfunction) is the minimum thermodynamic work (i.e., energy) needed to remove an electron from a solid to a point in the vacuum immediately outside the solid surface. Here "immediately ...
metals for dual-gate
MOSFET The metal–oxide–semiconductor field-effect transistor (MOSFET, MOS-FET, or MOS FET) is a type of field-effect transistor (FET), most commonly fabricated by the controlled oxidation of silicon. It has an insulated gate, the voltage of which d ...
s.


Magnetic recording heads

Magnetic recording heads utilize electric fields to polarize particles and leave a magnetized pattern on a hard disk. AlO ALD is used to create uniform, thin layers of insulation. By using ALD, it is possible to control the insulation thickness to a high level of accuracy. This allows for more accurate patterns of magnetized particles and thus higher quality recordings.


DRAM capacitors

DRAM capacitors are yet another application of ALD. An individual DRAM cell can store a single bit of data and consists of a single MOS transistor and a
capacitor A capacitor is a device that stores electrical energy in an electric field by virtue of accumulating electric charges on two close surfaces insulated from each other. It is a passive electronic component with two terminals. The effect of ...
. Major efforts are being put into reducing the size of the capacitor which will effectively allow for greater memory density. In order to change the capacitor size without affecting the capacitance, different cell orientations are being used. Some of these include stacked or trench capacitors. With the emergence of trench capacitors, the problem of fabricating these capacitors comes into play, especially as the size of
semiconductor A semiconductor is a material which has an electrical conductivity value falling between that of a conductor, such as copper, and an insulator, such as glass. Its resistivity falls as its temperature rises; metals behave in the opposite way ...
s decreases. ALD allows trench features to be scaled to beyond 100 nm. The ability to deposit single layers of material allows for a great deal of control over the material. Except for some issues of incomplete film growth (largely due to insufficient amount or low temperature substrates), ALD provides an effective means of depositing thin films like dielectrics or barriers.


Photovoltaic Applications

The use of ALD technique in solar cells is becoming more prominent with time. In the past, it has been used to deposit surface passivation layers in crystalline-silicon (c-Si) solar cells, buffer layers in copper indium gallium selenide (CIGS) solar cells and barrier layers in dye-sensitized solar cells (DSSCs). For e.g., the use of ALD grown Al2O3 for solar cell applications was demonstrated by Schmidt ''et al''. It was used as a surface passivation layer for the development of PERC (passivated emitter and rear cell) solar cells. The use of ALD technique to deposit charge transport layers (CTLs) is also being explored widely for perovskite solar cells. The ability of ALD to deposit high quality and conformal films with precise control on thickness can provide great advantage in finely tailoring the interfaces between CTL and perovskite layer. Moreover, it can be useful in obtaining uniform and pin-hole free films over large areas. These aspects make ALD a promising technique in further improving and stabilizing the performance of perovskite solar cells.


Electrooptic Applications


Thin Film Couplers

As photonic integrated circuits (PICs) emerge, often in a manner similar to electronic integrated circuits, a wide variety of on-chip optical device structures are needed. One example is the nanophotonic coupler that behaves as a micrometer-size beamsplitter at the intersection of optical waveguides in which high aspect ratio trenches (~100 nm width x 4 micrometer depth) are first defined by etching then back-filled with aluminum oxide by ALD to form optical-quality interfaces.


Biomedical applications

Understanding and being able to specify the surface properties on biomedical devices is critical in the biomedical industry, especially regarding devices that are implanted in the body. A material interacts with the environment at its surface, so the surface properties largely direct the interactions of the material with its environment. Surface chemistry and surface topography affect
protein adsorption Adsorption (not to be mistaken for ''absorption'') is the accumulation and adhesion of molecules, atoms, ions, or larger particles to a surface, but without surface penetration occurring. The adsorption of larger biomolecules such as proteins is of ...
, cellular interactions, and the
immune response An immune response is a reaction which occurs within an organism for the purpose of defending against foreign invaders. These invaders include a wide variety of different microorganisms including viruses, bacteria, parasites, and fungi which coul ...
. Some current uses in biomedical applications include creating flexible sensors, modifying nanoporous membranes, polymer ALD, and creating thin biocompatible coatings. ALD has been used to deposit TiO films to create optical waveguide sensors as diagnostic tools. Also, ALD is beneficial in creating flexible sensing devices that can be used, for example, in the clothing of athletes to detect movement or heart rate. ALD is one possible manufacturing process for flexible organic field-effect transistors (OFETs) because it is a low-temperature deposition method.
Nanoporous Nanoporous materials consist of a regular organic or inorganic bulk phase in which a porous structure is present. Nanoporous materials exhibit pore diameters that are most appropriately quantified using units of nanometers. The diameter of pores i ...
materials are emerging throughout the biomedical industry in drug delivery, implants, and tissue engineering. The benefit of using ALD to modify the surfaces of nanoporous materials is that, unlike many other methods, the saturation and self-limiting nature of the reactions means that even deeply embedded surfaces and interfaces are coated with a uniform film. Nanoporous surfaces can have their pore size reduced further in the ALD process because the conformal coating will completely coat the insides of the pores. This reduction in pore size may be advantageous in certain applications.


As a permeation barrier for plastics

ALD can be used as a permeation barrier for plastics. For example, it is well established as a method for encapsulation of OLEDs on plastic. ALD can also be used to inoculate 3-D printed plastic parts for use in
vacuum A vacuum is a space devoid of matter. The word is derived from the Latin adjective ''vacuus'' for "vacant" or " void". An approximation to such vacuum is a region with a gaseous pressure much less than atmospheric pressure. Physicists often ...
environments by mitigating outgassing, which allows for custom low-cost tools for both semiconductor processing and space applications. ALD can be used to form a barrier on plastics in roll to roll processes.


Quality and its control

The quality of an ALD process can be monitored using several different imaging techniques to make sure that the ALD process is occurring smoothly and producing a conformal layer over a surface. One option is the use of cross-sectional scanning electron microscopy (SEM) or transmission electron microscopy (TEM). High magnification of images is pertinent for assessing the quality of an ALD layer. X-ray reflectivity (XRR) is a technique that measures thin-film properties including thickness, density, and surface roughness. Another optical quality evaluation tool is spectroscopic ellipsometry. Its application between the depositions of each layer by ALD provides information on the growth rate and material characteristics of the film. Applying this analysis tool during the ALD process, sometimes referred to as ''in situ'' spectroscopic ellipsometry, allows for greater control over the growth rate of the films during the ALD process. This type of quality control occurs during the ALD process rather than assessing the films afterwards as in TEM imaging, or XRR. Additionally, Rutherford backscattering spectroscopy (RBS), X-ray photoelectron spectroscopy (XPS),
Auger electron spectroscopy A Hanford scientist uses an Auger electron spectrometer to determine the elemental composition of surfaces. Auger electron spectroscopy (AES; pronounced in French) is a common analytical technique used specifically in the study of surfaces and, ...
(AES), and
four-terminal sensing Four-terminal sensing (4T sensing), 4-wire sensing, or 4-point probes method is an electrical impedance measuring technique that uses separate pairs of current-carrying and voltage-sensing electrodes to make more accurate measurements than the ...
can be used to provide quality control information with regards to thin films deposited by ALD.


Advantages and limitations


Advantages

ALD provides a very controlled method to produce a film to an atomically specified thickness. Also, the growth of different multilayer structures is straightforward. Because of the sensitivity and precision of the equipment, it is very beneficial to those in the field of microelectronics and nanotechnology in producing small, but efficient semiconductors. ALD typically involves the use of relatively low temperatures and a catalyst, which is thermochemically favored. The lower temperature is beneficial when working with soft substrates, such as organic and biological samples. Some precursors that are thermally unstable still may be used so long as their decomposition rate is relatively slow.


Disadvantages

High purity of the substrates is very important, and as such, high costs will ensue. Although this cost may not be much relative to the cost of the equipment needed, one may need to run several trials before finding conditions that favor their desired product. Once the layer has been made and the process is complete, there may be a requirement of needing to remove excess precursors from the final product. In some final products there are less than 1% of impurities present.


Economic viability

Atomic layer deposition instruments can range anywhere from $200,000 to $800,000 based on the quality and efficiency of the instrument. There is no set cost for running a cycle of these instruments; the cost varies depending on the quality and purity of the substrates used, as well as the temperature and time of machine operation. Some substrates are less available than others and require special conditions, as some are very sensitive to oxygen and may then increase the rate of decomposition. Multicomponent oxides and certain metals traditionally needed in the microelectronics industry are generally not cost efficient.


Reaction time

The process of ALD is very slow and this is known to be its major limitation. For example, Al2O3 is deposited at a rate of 0.11 nm per cycle, which can correspond to an average deposition rate of 100–300 nm per hour, depending on cycle duration and pumping speed. This problem can be overrun by using Spatial ALD, where the substrate is moved in space below a special ALD showerhead, and both the precursor gasses are separated by gas curtains/bearings. In this way, deposition rates of 60 nm per minute could be reached. ALD is typically used to produce substrates for microelectronics and nanotechnology, and therefore, thick atomic layers are not needed. Many substrates cannot be used because of their fragility or impurity. Impurities are typically found on the 0.1–1 at.% because of some of the carrier gases are known to leave residue and are also sensitive to oxygen.


Chemical limitations

Precursors must be volatile, but not subject to decomposition, as most precursors are very sensitive to oxygen/air, thus causing a limitation on the substrates that may be used. Some biological substrates are very sensitive to heat and may have fast decomposition rates that are not favored and yield larger impurity levels. There are a multitude of thin-film substrate materials available, but the important substrates needed for use in microelectronics can be hard to obtain and may be very expensive.


References


External links


ALD animation
Thin film deposition Semiconductor device fabrication Chemical processes Finnish inventions Soviet inventions