HOME

TheInfoList



OR:

A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography and the production of integrated circuits (ICs or "chips") in particular. Masks are used to produce a pattern on a substrate, normally a thin slice of
silicon Silicon is a chemical element with the symbol Si and atomic number 14. It is a hard, brittle crystalline solid with a blue-grey metallic luster, and is a tetravalent metalloid and semiconductor. It is a member of group 14 in the periodic ta ...
known as a
wafer A wafer is a crisp, often sweet, very thin, flat, light and dry biscuit, often used to decorate ice cream, and also used as a garnish on some sweet dishes. Wafers can also be made into cookies with cream flavoring sandwiched between them. They ...
in the case of chip manufacturing. Several masks are used in turn, each one reproducing a layer of the completed design, and together they are known as a mask set. Previously, photomasks used to be produced manually by using
rubylith image:Rubylith two rolls 2012.jpg, 200px, Rolls of lithographer's tape (these rolls are made by 3M). The roll in the back is 1/4 inch, the one in the front, 1/2 inch width. These products are often called "Rubylith" tape because Rubylith has become ...
and
mylar BoPET (biaxially-oriented polyethylene terephthalate) is a polyester film made from stretched polyethylene terephthalate (PET) and is used for its high tensile strength, chemical and dimensional stability, transparency, reflectivity, gas and a ...
. As complexity continued to grow, manual processing of any sort became difficult. This was solved with the introduction of the optical pattern generator which automated the process of producing the initial large-scale pattern, and the step-and-repeat cameras that automated the copying of the pattern into a multiple-IC mask. The intermediate masks are known as reticles, and were initially copied to production masks using the same photographic process. The initial stages produced by the generators have since been replaced by
electron beam lithography Electron-beam lithography (often abbreviated as e-beam lithography, EBL) is the practice of scanning a focused beam of electrons to draw custom shapes on a surface covered with an electron-sensitive film called a resist (exposing). The electron ...
and
laser A laser is a device that emits light through a process of optical amplification based on the stimulated emission of electromagnetic radiation. The word "laser" is an acronym for "light amplification by stimulated emission of radiation". The fi ...
-driven systems. In these systems there may be no reticle, the masks can be generated directly from the original computerized design. Mask materials have also changed over time. Initially, the rubylith was directly used as the mask. As feature size shrank the only way to properly focus the image was to place it in direct contact with the wafer. These contact aligners often lifted some of the
photoresist A photoresist (also known simply as a resist) is a light-sensitive material used in several processes, such as photolithography and photoengraving, to form a patterned coating on a surface. This process is crucial in the electronic industry. ...
off the wafer and the mask had to be discarded. This helped drive the adoption of reticles, which were used to produce thousands of masks. As the power of the lamps exposing the masks increased, film became subject to distortion due to heat, and was replaced by silver halide on soda glass. This same process led to the use of
borosilicate Borosilicate glass is a type of glass with silica and boron trioxide as the main glass-forming constituents. Borosilicate glasses are known for having very low coefficients of thermal expansion (≈3 × 10−6 K−1 at 20 °C), ma ...
and then
quartz Quartz is a hard, crystalline mineral composed of silica ( silicon dioxide). The atoms are linked in a continuous framework of SiO4 silicon-oxygen tetrahedra, with each oxygen being shared between two tetrahedra, giving an overall chemical ...
to control expansion, and from silver halide to chromium which has better opacity to the
ultraviolet light Ultraviolet (UV) is a form of electromagnetic radiation with wavelength from 10 nanometer, nm (with a corresponding frequency around 30 Hertz, PHz) to 400 nm (750 Hertz, THz), shorter than that of visible light, but longer than ...
used in the lithography process.


History

For IC production in the 1960s, through 70s, an opaq
rubylith image:Rubylith two rolls 2012.jpg, 200px, Rolls of lithographer's tape (these rolls are made by 3M). The roll in the back is 1/4 inch, the one in the front, 1/2 inch width. These products are often called "Rubylith" tape because Rubylith has become ...
film
laminated Lamination is the technique/process of manufacturing a material in multiple layers, so that the composite material achieves improved strength, stability, sound insulation, appearance, or other properties from the use of the differing materia ...
onto a transparent
mylar BoPET (biaxially-oriented polyethylene terephthalate) is a polyester film made from stretched polyethylene terephthalate (PET) and is used for its high tensile strength, chemical and dimensional stability, transparency, reflectivity, gas and a ...
was used to produce a master mask. The cutting machine ( plotter) used to cut a stencil which was then peeled off. The patterned mylar itself was scaled down by use of photography from illuminated
drafting table A drawing board (also drawing table, drafting table or architect's table) is, in its antique form, a kind of multipurpose desk which can be used for any kind of drawing, writing or impromptu sketching on a large sheet of paper or for reading a l ...
to produce a sub-master plate, which was further used in step-and-repeat process to project pattern onto a
wafer A wafer is a crisp, often sweet, very thin, flat, light and dry biscuit, often used to decorate ice cream, and also used as a garnish on some sweet dishes. Wafers can also be made into cookies with cream flavoring sandwiched between them. They ...
. As feature sizes shrank and wafer sizes grew, multiple copies of the design would be patterned onto the mask, allowing a single print run to produce many ICs. Making a mask of this sort became increasingly difficult as the complexity of the designs increased. This was solved by cutting the rubylith pattern at much larger sizes, often filling the walls of a room, and then optically shrinking them onto photographic film and further onto a plate.


Overview

Lithographic photomasks are typically transparent
fused silica Fused quartz, fused silica or quartz glass is a glass consisting of almost pure silica (silicon dioxide, SiO2) in amorphous (non- crystalline) form. This differs from all other commercial glasses in which other ingredients are added which ch ...
plates covered with a pattern defined with a chromium (Cr) or Fe2O3 metal absorbing film. Photomasks are used at wavelengths of 365 nm, 248 nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm, 13.5 nm (
EUV Extreme ultraviolet radiation (EUV or XUV) or high- energy ultraviolet radiation is electromagnetic radiation in the part of the electromagnetic spectrum spanning wavelengths from 124  nm down to 10 nm, and therefore (by the Planck ...
),
X-ray An X-ray, or, much less commonly, X-radiation, is a penetrating form of high-energy electromagnetic radiation. Most X-rays have a wavelength ranging from 10  picometers to 10  nanometers, corresponding to frequencies in the range 30&nb ...
,
electrons The electron ( or ) is a subatomic particle with a negative one elementary electric charge. Electrons belong to the first generation of the lepton particle family, and are generally thought to be elementary particles because they have no ...
, and
ions An ion () is an atom or molecule with a net electrical charge. The charge of an electron is considered to be negative by convention and this charge is equal and opposite to the charge of a proton, which is considered to be positive by conven ...
; but these require entirely new materials for the substrate and the pattern film. A set of photomasks, each defining a pattern layer in integrated circuit fabrication, is fed into a photolithography stepper or scanner, and individually selected for exposure. In multi-patterning techniques, a photomask would correspond to a subset of the layer pattern. In photolithography for the mass production of integrated circuit devices, the more correct term is usually photoreticle or simply reticle. In the case of a photomask, there is a one-to-one correspondence between the mask pattern and the wafer pattern. This was the standard for the 1:1 mask aligners that were succeeded by steppers and scanners with reduction optics. As used in steppers and scanners, the reticle commonly contains only one layer of the designed
VLSI Very large-scale integration (VLSI) is the process of creating an integrated circuit (IC) by combining millions or billions of MOS transistors onto a single chip. VLSI began in the 1970s when MOS integrated circuit (Metal Oxide Semiconductor) ...
circuit. (However, some photolithography fabrications utilize reticles with more than one layer patterned onto the same mask). The pattern is projected and shrunk by four or five times onto the wafer surface. To achieve complete wafer coverage, the wafer is repeatedly " stepped" from position to position under the optical column until full exposure is achieved. Features 150 nm or below in size generally require phase-shifting to enhance the image quality to acceptable values. This can be achieved in many ways. The two most common methods are to use an attenuated phase-shifting background film on the mask to increase the contrast of small intensity peaks, or to etch the exposed
quartz Quartz is a hard, crystalline mineral composed of silica ( silicon dioxide). The atoms are linked in a continuous framework of SiO4 silicon-oxygen tetrahedra, with each oxygen being shared between two tetrahedra, giving an overall chemical ...
so that the edge between the etched and unetched areas can be used to image nearly zero intensity. In the second case, unwanted edges would need to be trimmed out with another exposure. The former method is ''attenuated phase-shifting'', and is often considered a weak enhancement, requiring special illumination for the most enhancement, while the latter method is known as ''alternating-aperture phase-shifting'', and is the most popular strong enhancement technique. As leading-edge
semiconductor A semiconductor is a material which has an electrical conductivity value falling between that of a conductor, such as copper, and an insulator, such as glass. Its resistivity falls as its temperature rises; metals behave in the opposite way. ...
features shrink, photomask features that are 4× larger must inevitably shrink as well. This could pose challenges since the absorber film will need to become thinner, and hence less opaque.Y. Sato et al., ''Proc. SPIE'', vol. 4889, pp. 50-58 (2002). A 2005 study by
IMEC Interuniversity Microelectronics Centre (IMEC) is an international research & development organization, active in the fields of nanoelectronics and digital technologies, with headquarters in Belgium. Luc Van den hove has served as President an ...
found that thinner absorbers degrade image contrast and therefore contribute to line-edge roughness, using state-of-the-art photolithography tools.M. Yoshizawa et al., ''Proc. SPIE'', vol. 5853, pp. 243-251 (2005) One possibility is to eliminate absorbers altogether and use "chromeless" masks, relying solely on phase-shifting for imaging. The emergence of immersion lithography has a strong impact on photomask requirements. The commonly used attenuated phase-shifting mask is more sensitive to the higher incidence angles applied in "hyper-NA" lithography, due to the longer optical path through the patterned film.C. A. Mack et al., ''Proc. SPIE'', vol. 5992, pp. 306-316 (2005) Photomasks are made by applying
photoresist A photoresist (also known simply as a resist) is a light-sensitive material used in several processes, such as photolithography and photoengraving, to form a patterned coating on a surface. This process is crucial in the electronic industry. ...
to a
quartz Quartz is a hard, crystalline mineral composed of silica ( silicon dioxide). The atoms are linked in a continuous framework of SiO4 silicon-oxygen tetrahedra, with each oxygen being shared between two tetrahedra, giving an overall chemical ...
substrate with
chrome plating Chrome plating (less commonly chromium plating) is a technique of electroplating a thin layer of chromium onto a metal object. A chrome-plated item is called ''chrome''. The chromed layer can be decorative, provide corrosion resistance, ease o ...
on one side and exposing it using a
laser A laser is a device that emits light through a process of optical amplification based on the stimulated emission of electromagnetic radiation. The word "laser" is an acronym for "light amplification by stimulated emission of radiation". The fi ...
or an electron beam in a process called
maskless lithography Maskless lithography (MPL) is a photomask-less photolithography-like technology used to project or focal-spot write the image pattern onto a chemical resist-coated substrate (e.g. wafer) by means of UV radiation or electron beam. In microlithograp ...
. The photoresist is then developed and the unprotected areas with chrome are etched, and the remaining photoresist is removed resulting in stencil.


EUV lithography

In EUV lithography photomasks are more sophisticated compared to light-blocking ones. EUV masks are made out of reflective surfaces and light-blocking elements which produce necessary pattern upon exposure to
ultraviolet radiation Ultraviolet (UV) is a form of electromagnetic radiation with wavelength from 10 nm (with a corresponding frequency around 30  PHz) to 400 nm (750  THz), shorter than that of visible light, but longer than X-rays. UV radiation i ...
.


Mask error enhancement factor (MEEF)

Leading-edge photomasks (pre-corrected) images of the final chip patterns are magnified by four times. This magnification factor has been a key benefit in reducing pattern sensitivity to imaging errors. However, as features continue to shrink, two trends come into play: the first is that the mask error factor begins to exceed one, i.e., the dimension error on the wafer may be more than 1/4 the dimension error on the mask, and the second is that the mask feature is becoming smaller, and the dimension tolerance is approaching a few nanometers. For example, a 25 nm wafer pattern should correspond to a 100 nm mask pattern, but the wafer tolerance could be 1.25 nm (5% spec), which translates into 5 nm on the photomask. The variation of electron beam scattering in directly writing the photomask pattern can easily well exceed this.


Pellicles

The term "pellicle" is used to mean "film", "thin film", or "membrane." Beginning in the 1960s, thin film stretched on a metal frame, also known as a "pellicle", was used as a beam splitter for optical instruments. It has been used in a number of instruments to split a beam of light without causing an optical path shift due to its small film thickness. In 1978, Shea et al. at IBM patented a process to use the "pellicle" as a dust cover to protect a photomask or reticle. In the context of this entry, "pellicle" means "thin film dust cover to protect a photomask". Particle contamination can be a significant problem in semiconductor manufacturing. A photomask is protected from particles by a pelliclea thin transparent film stretched over a frame that is glued over one side of the photomask. The pellicle is far enough away from the mask patterns so that moderate-to-small sized particles that land on the pellicle will be too far out of focus to print. Although they are designed to keep particles away, pellicles become a part of the imaging system and their optical properties need to be taken into account. Pellicles material are Nitrocellulose and made for various Transmission Wavelengths.


Leading commercial photomask manufacturers

The
SPIE SPIE (formerly the Society of Photographic Instrumentation Engineers, later the Society of Photo-Optical Instrumentation Engineers) is an international not-for-profit professional society for optics and photonics technology, founded in 1955. It ...
Annual Conference, Photomask Technology reports the
SEMATECH SEMATECH (from Semiconductor Manufacturing Technology) is a not-for-profit consortium that performs research and development to advance chip manufacturing. SEMATECH has broad engagement with various sectors of the R&D community, including chipm ...
Mask Industry Assessment which includes current industry analysis and the results of their annual photomask manufacturers survey. The following companies are listed in order of their global market share (2009 info): * Dai Nippon Printing *
Toppan or simply Toppan is a Japanese global printing company. Toppan was founded in 1900 and is headquartered in Tokyo. History As of March 2013 the company has 169 subsidiary and affiliate companies. Toppan is listed on the Tokyo Stock Exchange ...
Photomasks * Photronics Inc *
Hoya Corporation is a Japanese company manufacturing optical products such as photomasks, photomask blanks and hard disk drive platters, contact lenses and eyeglass lenses for the health-care market, medical photonics, lasers, photographic filters, medical flex ...
* Taiwan Mask Corporation * Compugraphics Major chipmakers such as
Intel Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California. It is the world's largest semiconductor chip manufacturer by revenue, and is one of the developers of the x86 seri ...
,
Globalfoundries GlobalFoundries Inc. (GF or GloFo) is a multinational semiconductor contract manufacturing and design company incorporated in the Cayman Islands and headquartered in Malta, New York. Created by the divestiture of the manufacturing arm of AMD, ...
, IBM,
NEC is a Japanese multinational information technology and electronics corporation, headquartered in Minato, Tokyo. The company was known as the Nippon Electric Company, Limited, before rebranding in 1983 as NEC. It provides IT and network soluti ...
,
TSMC Taiwan Semiconductor Manufacturing Company Limited (TSMC; also called Taiwan Semiconductor) is a Taiwanese multinational semiconductor contract manufacturing and design company. It is the world's most valuable semiconductor company, the world' ...
, UMC,
Samsung The Samsung Group (or simply Samsung) ( ko, 삼성 ) is a South Korean multinational manufacturing conglomerate headquartered in Samsung Town, Seoul, South Korea. It comprises numerous affiliated businesses, most of them united under the ...
, and Micron Technology, have their own large maskmaking facilities or
joint venture A joint venture (JV) is a business entity created by two or more parties, generally characterized by shared ownership, shared returns and economic risk, risks, and shared governance. Companies typically pursue joint ventures for one of four rea ...
s with the abovementioned companies. The worldwide photomask market was estimated as $3.2 billion in 2012 and $3.1 billion in 2013. Almost half of the market was from captive mask shops (in-house mask shops of major chipmakers). The costs of creating new mask shop for 180 nm processes were estimated in 2005 as $40 million, and for 130 nm - more than $100 million. The purchase price of a photomask, in 2006, could range from $250 to $100,000 doi:10.1109/TSM.2006.883577; page 23 table 1 for a single high-end phase-shift mask. As many as 30 masks (of varying price) may be required to form a complete
mask set A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography and the production of integrated circuits (ICs or "chips") in particular. Masks are used ...
.


See also

*
Integrated circuit layout design protection Layout designs (topographies) of integrated circuits are a field in the protection of intellectual property. In United States intellectual property law, a "mask work" is a two or three-dimensional layout or topography of an integrated circuit ...
(or "Mask work") * Mask inspection * SMIF interface * Nanochannel glass materials * Stepping level


References

{{Authority control Lithography (microfabrication) Semiconductor fabrication equipment