HOME

TheInfoList



OR:

In
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
manufacturing, photolithography or optical lithography is a general term used for techniques that use
light Light or visible light is electromagnetic radiation that can be perceived by the human eye. Visible light is usually defined as having wavelengths in the range of 400–700 nanometres (nm), corresponding to frequencies of 750–420 t ...
to produce minutely patterned
thin film A thin film is a layer of material ranging from fractions of a nanometer ( monolayer) to several micrometers in thickness. The controlled synthesis of materials as thin films (a process referred to as deposition) is a fundamental step in many ...
s of suitable materials over a substrate, such as a
silicon Silicon is a chemical element with the symbol Si and atomic number 14. It is a hard, brittle crystalline solid with a blue-grey metallic luster, and is a tetravalent metalloid and semiconductor. It is a member of group 14 in the periodic ...
wafer, to protect selected areas of it during subsequent etching,
deposition Deposition may refer to: * Deposition (law), taking testimony outside of court * Deposition (politics), the removal of a person of authority from political power * Deposition (university), a widespread initiation ritual for new students practiced f ...
, or implantation operations. Typically,
ultraviolet Ultraviolet (UV) is a form of electromagnetic radiation with wavelength from 10 nm (with a corresponding frequency around 30  PHz) to 400 nm (750  THz), shorter than that of visible light, but longer than X-rays. UV radiation ...
light is used to transfer a
geometric design Geometrical design (GD) is a branch of computational geometry. It deals with the construction and representation of free-form curves, surfaces, or volumes and is closely related to geometric modeling. Core problems are curve and surface modelling ...
from an optical mask to a light-sensitive chemical ( photoresist) coated on the substrate. The photoresist either breaks down or hardens where it is exposed to light. The patterned film is then created by removing the softer parts of the coating with appropriate solvents. Conventional photoresists typically consists of three components: resin, sensitizer, and solvent. Photolithography processes can be classified according to the type of light used, such as
ultraviolet Ultraviolet (UV) is a form of electromagnetic radiation with wavelength from 10 nm (with a corresponding frequency around 30  PHz) to 400 nm (750  THz), shorter than that of visible light, but longer than X-rays. UV radiation ...
, deep ultraviolet, extreme ultraviolet, or
X-ray An X-ray, or, much less commonly, X-radiation, is a penetrating form of high-energy electromagnetic radiation. Most X-rays have a wavelength ranging from 10 picometers to 10  nanometers, corresponding to frequencies in the range 30&nb ...
. The wavelength of light used determines the minimum feature size that can be formed in the photoresist. Photolithography is a subclass of
microlithography Microlithography is a general name for any manufacturing process that can create a minutely patterned thin film of protective materials over a substrate, such as a silicon wafer, in order to protect selected areas of it during subsequent etchi ...
, the general term for processes that generate patterned thin films. Other technologies in this broader class include the use of steerable electron beams, or more rarely, nanoimprinting, interference, magnetic fields, or scanning probes. On a broader level, it may compete with directed self-assembly of micro- and nanostructures. Photolithography shares some fundamental principles with
photography Photography is the art, application, and practice of creating durable images by recording light, either electronically by means of an image sensor, or chemically by means of a light-sensitive material such as photographic film. It is emplo ...
in that the pattern in the photoresist is created by exposing it to light — either directly by projection through a lens, or by illuminating a mask placed directly over the substrate, as in
contact printing A contact print is a photographic image produced from film; sometimes from a film negative, and sometimes from a film positive or paper negative. In a darkroom an exposed and developed piece of film or photographic paper is placed emulsion s ...
. The technique can also be seen as a high precision version of the method used to make
printed circuit board A printed circuit board (PCB; also printed wiring board or PWB) is a medium used in electrical and electronic engineering to connect electronic components to one another in a controlled manner. It takes the form of a laminated sandwich str ...
s. The name originated from a loose analogy with the traditional photographic method of producing plates for lithographic printing on paper; however, subsequent stages in the process have more in common with etching than with traditional lithography. Photolithography is the most common method for
semiconductor fabrication Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are ...
of integrated circuits ("ICs" or "chips"), such as solid-state memories and
microprocessor A microprocessor is a computer processor where the data processing logic and control is included on a single integrated circuit, or a small number of integrated circuits. The microprocessor contains the arithmetic, logic, and control circ ...
s. It can create extremely small patterns, down to a few tens of
nanometer 330px, Different lengths as in respect to the molecular scale. The nanometre (international spelling as used by the International Bureau of Weights and Measures; SI symbol: nm) or nanometer (American and British English spelling differences#-re, ...
s in size. It provides precise control of the shape and size of the objects it creates and can create patterns over an entire wafer in a single step, quickly and with relatively low cost. In complex
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
s, a wafer may go through the photolithographic cycle as many as 50 times. It is also an important technique for the fabrication of microscopic structures in general, such as
microelectromechanical systems Microelectromechanical systems (MEMS), also written as micro-electro-mechanical systems (or microelectronic and microelectromechanical systems) and the related micromechatronics and microsystems constitute the technology of microscopic devices, ...
. However, photolithography cannot be used to produce masks on surfaces that are not perfectly flat; and, like all chip manufacturing processes, it requires extremely clean operating conditions.


History

The root words ''photo'', ''litho'', and ''graphy'' all have Greek origins, with the meanings 'light', 'stone' and 'writing' respectively. As suggested by the name compounded from them, ''photolithography'' is a printing method (originally based on the use of limestone printing plates) in which light plays an essential role. In the 1820s, Nicephore Niepce invented a
photographic Photography is the art, application, and practice of creating durable images by recording light, either electronically by means of an image sensor, or chemically by means of a light-sensitive material such as photographic film. It is employed ...
process that used
Bitumen of Judea Bitumen of Judea, or Syrian asphalt, is a naturally occurring asphalt that has been put to many uses since ancient times. Wood coloration usage Bitumen of Judea may be used as a colorant for wood for an aged, natural and rustic appearance. It ...
, a natural asphalt, as the first photoresist. A thin coating of the bitumen on a sheet of metal, glass or stone became less soluble where it was exposed to light; the unexposed parts could then be rinsed away with a suitable solvent, baring the material beneath, which was then chemically etched in an acid bath to produce a printing plate. The light-sensitivity of bitumen was very poor and very long exposures were required, but despite the later introduction of more sensitive alternatives, its low cost and superb resistance to strong acids prolonged its commercial life into the early 20th century. In 1940, Oskar Süß created a ''positive'' photoresist by using diazonaphthoquinone, which worked in the opposite manner: the coating was initially insoluble and was rendered soluble where it was exposed to light. In 1954, Louis Plambeck Jr. developed the Dycryl polymeric letterpress plate, which made the platemaking process faster. In 1952, the U.S. military assigned Jay W. Lathrop and James R. Nall at the National Bureau of Standards (later the U.S. Army Diamond Ordnance Fuze Laboratory, which eventually merged to form the now-present Army Research Laboratory) with the task of finding a way to reduce the size of electronic circuits in order to better fit the necessary circuitry in the limited space available inside a
proximity fuze A proximity fuze (or fuse) is a fuze that detonates an explosive device automatically when the distance to the target becomes smaller than a predetermined value. Proximity fuzes are designed for targets such as planes, missiles, ships at sea, an ...
. Inspired by the application of photoresist, a photosensitive liquid used to mark the boundaries of rivet holes in metal aircraft wings, Nall determined that a similar process can be used to protect the germanium in the transistors and even pattern the surface with light. During development, Lathrop and Nall were successful in creating a 2D miniaturized hybrid integrated circuit with transistors using this technique. In 1958, during the IRE Professional Group on Electron Devices (PGED) conference in Washington, D.C., they presented the first paper to describe the fabrication of transistors using photographic techniques and adopted the term "photolithography" to describe the process, marking the first published use of the term to describe semiconductor device patterning. Despite the fact that photolithography of electronic components concerns etching metal duplicates, rather than etching stone to produce a "master" as in conventional lithographic printing, Lathrop and Nall chose the term "photolithography" over "photoetching" because the former sounded "high tech." A year after the conference, Lathrop and Nall's patent on photolithography was formally approved on June 9, 1959. Photolithography would later contribute to the development of the first semiconductor ICs as well as the first microchips.


Basic procedure

A single iteration of photolithography combines several steps in sequence. Modern cleanrooms use automated,
robot A robot is a machine—especially one programmable by a computer—capable of carrying out a complex series of actions automatically. A robot can be guided by an external control device, or the control may be embedded within. Robots may be ...
ic wafer track systems to coordinate the process. The procedure described here omits some advanced treatments, such as thinning agents or edge-bead removal. The photolithography process is carried out by the wafer track and stepper/scanner, and the wafer track system and the stepper/scanner are installed side by side. Wafer track systems have been replaced by wafer coater/developer systems, which perform the same functions.


Cleaning

If organic or inorganic contaminations are present on the wafer surface, they are usually removed by wet chemical treatment, e.g. the
RCA clean The RCA clean is a standard set of wafer cleaning steps which need to be performed before high-temperature processing steps ( oxidation, diffusion, CVD) of silicon wafers in semiconductor manufacturing. Werner Kern developed the basic procedure ...
procedure based on solutions containing
hydrogen peroxide Hydrogen peroxide is a chemical compound with the formula . In its pure form, it is a very pale blue liquid that is slightly more viscous than water. It is used as an oxidizer, bleaching agent, and antiseptic, usually as a dilute solution (3 ...
. Other solutions made with trichloroethylene, acetone or methanol can also be used to clean.


Preparation

The wafer is initially heated to a temperature sufficient to drive off any moisture that may be present on the wafer surface; 150 °C for ten minutes is sufficient. Wafers that have been in storage must be chemically cleaned to remove
contamination Contamination is the presence of a constituent, impurity, or some other undesirable element that spoils, corrupts, infects, makes unfit, or makes inferior a material, physical body, natural environment, workplace, etc. Types of contamination ...
. A
liquid A liquid is a nearly incompressible fluid that conforms to the shape of its container but retains a (nearly) constant volume independent of pressure. As such, it is one of the four fundamental states of matter (the others being solid, gas, ...
or gaseous "adhesion promoter", such as Bis(trimethylsilyl)amine ("hexamethyldisilazane", HMDS), is applied to promote adhesion of the photoresist to the wafer. The surface layer of silicon dioxide on the wafer reacts with HMDS to form tri-methylated silicon-dioxide, a highly water repellent layer not unlike the layer of wax on a car's paint. This water repellent layer prevents the aqueous developer from penetrating between the photoresist layer and the wafer's surface, thus preventing so-called lifting of small photoresist structures in the (developing) pattern. In order to ensure the development of the image, it is best covered and placed over a hot plate and let it dry while stabilizing the temperature at 120 °C.


Photoresist application

The wafer is covered with photoresist by spin coating. Thus, the top layer of resist is quickly ejected from the wafer's edge while the bottom layer still creeps slowly radially along the wafer. In this way, any 'bump' or 'ridge' of resist is removed, leaving a very flat layer. However, viscous films may result in large edge beads whose planarization has physical limits. Final thickness is also determined by the evaporation of liquid solvents from the resist. For very small, dense features (< 125 or so nm), lower resist thicknesses (< 0.5 microns) are needed to overcome collapse effects at high aspect ratios; typical aspect ratios are < 4:1. The photoresist-coated wafer is then prebaked to drive off excess photoresist solvent, typically at 90 to 100 °C for 30 to 60 seconds on a hotplate. A BARC coating (Bottom Anti-Reflectant Coating) may be applied before the photoresist is applied, to avoid reflections from occurring under the photoresist and to improve the photoresist's performance at smaller semiconductor nodes.


Exposure and developing

After prebaking, the photoresist is exposed to a pattern of intense light. The exposure to light causes a chemical change that allows some of the photoresist to be removed by a special solution, called "developer" by analogy with photographic developer. Positive photoresist, the most common type, becomes soluble in the developer when exposed; with negative photoresist, unexposed regions are soluble in the developer. A post-exposure bake (PEB) is performed before developing, typically to help reduce
standing wave In physics, a standing wave, also known as a stationary wave, is a wave that oscillates in time but whose peak amplitude profile does not move in space. The peak amplitude of the wave oscillations at any point in space is constant with respect ...
phenomena caused by the destructive and constructive interference patterns of the incident light. In deep ultraviolet lithography, chemically amplified resist (CAR) chemistry is used. This process is much more sensitive to PEB time, temperature, and delay, as most of the "exposure" reaction (creating acid, making the polymer soluble in the basic developer) actually occurs in the PEB. The develop chemistry is delivered on a spinner, much like photoresist. Developers originally often contained
sodium hydroxide Sodium hydroxide, also known as lye and caustic soda, is an inorganic compound with the formula NaOH. It is a white solid ionic compound consisting of sodium cations and hydroxide anions . Sodium hydroxide is a highly caustic base and al ...
(NaOH). However,
sodium Sodium is a chemical element with the symbol Na (from Latin ''natrium'') and atomic number 11. It is a soft, silvery-white, highly reactive metal. Sodium is an alkali metal, being in group 1 of the periodic table. Its only stable ...
is considered an extremely undesirable contaminant in
MOSFET The metal–oxide–semiconductor field-effect transistor (MOSFET, MOS-FET, or MOS FET) is a type of field-effect transistor (FET), most commonly fabricated by the controlled oxidation of silicon. It has an insulated gate, the voltage of which d ...
fabrication because it degrades the insulating properties of gate oxides (specifically, sodium ions can migrate in and out of the gate, changing the threshold voltage of the transistor and making it harder or easier to turn the transistor on over time). Metal-ion-free developers such as
tetramethylammonium hydroxide Tetramethylammonium hydroxide (TMAH or TMAOH) is a quaternary ammonium salt with molecular formula N(CH3)4+ OH−. It is commonly encountered in form of concentrated solutions in water or methanol. TMAH in solid state and its aqueous solu ...
(TMAH) are now used. The resulting wafer is then "hard-baked" if a non-chemically amplified resist was used, typically at 120 to 180 °C for 20 to 30 minutes. The hard bake solidifies the remaining photoresist, to make a more durable protecting layer in future ion implantation, wet chemical etching, or
plasma etching Plasma etching is a form of plasma processing used to fabricate integrated circuits. It involves a high-speed stream of glow discharge ( plasma) of an appropriate gas mixture being shot (in pulses) at a sample. The plasma source, known as etch spec ...
. From preparation until this step, the photolithography procedure has been carried out by two machines: the photolithography stepper or scanner, and the coater/developer. The two machines are usually installed side by side.


Etching, implantation

In etching, a liquid ("wet") or plasma ("dry") chemical agent removes the uppermost layer of the substrate in the areas that are not protected by photoresist. In
semiconductor fabrication Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are ...
, dry etching techniques are generally used, as they can be made
anisotropic Anisotropy () is the property of a material which allows it to change or assume different properties in different directions, as opposed to isotropy. It can be defined as a difference, when measured along different axes, in a material's physic ...
, in order to avoid significant undercutting of the photoresist pattern. This is essential when the width of the features to be defined is similar to or less than the thickness of the material being etched (i.e. when the aspect ratio approaches unity). Wet etch processes are generally isotropic in nature, which is often indispensable for
microelectromechanical systems Microelectromechanical systems (MEMS), also written as micro-electro-mechanical systems (or microelectronic and microelectromechanical systems) and the related micromechatronics and microsystems constitute the technology of microscopic devices, ...
, where suspended structures must be "released" from the underlying layer. The development of low-defectivity anisotropic dry-etch process has enabled the ever-smaller features defined photolithographically in the resist to be transferred to the substrate material.


Photoresist removal

After a photoresist is no longer needed, it must be removed from the substrate. This usually requires a liquid "resist stripper", which chemically alters the resist so that it no longer adheres to the substrate. Alternatively, the photoresist may be removed by a plasma containing
oxygen Oxygen is the chemical element with the symbol O and atomic number 8. It is a member of the chalcogen group in the periodic table, a highly reactive nonmetal, and an oxidizing agent that readily forms oxides with most elements ...
, which oxidizes it. This process is called ashing and resembles dry etching. The use of 1-Methyl-2-pyrrolidone (NMP) solvent for photoresist is another method used to remove an image. When the resist has been dissolved, the solvent can be removed by heating to 80 °C without leaving any residue.


Exposure ("printing") systems

Exposure systems typically produce an image on the wafer using a photomask. The photomask blocks light in some areas and lets it pass in others. ( Maskless lithography projects a precise beam directly onto the wafer without using a mask, but it is not widely used in commercial processes.) Exposure systems may be classified by the optics that transfer the image from the mask to the wafer. Photolithography produces better thin film transistor structures than
printed electronics Printed electronics is a set of printing methods used to create electrical devices on various substrates. Printing typically uses common printing equipment suitable for defining patterns on material, such as screen printing, flexography, gravur ...
, due to smoother printed layers, less wavy patterns, and more accurate drain-source electrode registration.


Contact and proximity

A contact printer, the simplest exposure system, puts a photomask in direct contact with the wafer and exposes it to a uniform light. A proximity printer puts a small gap between the photomask and wafer. In both cases, the mask covers the entire wafer, and simultaneously patterns every die. Contact printing is liable to damage both the mask and the wafer, and this was the primary reason it was abandoned for high volume production. Both contact and proximity lithography require the light intensity to be uniform across an entire wafer, and the mask to align precisely to features already on the wafer. As modern processes use increasingly large wafers, these conditions become increasingly difficult. Research and prototyping processes often use contact or proximity lithography, because it uses inexpensive hardware and can achieve high optical resolution. The resolution in proximity lithography is approximately the square root of the product of the wavelength and the gap distance. Hence, except for projection lithography (see below), contact printing offers the best resolution, because its gap distance is approximately zero (neglecting the thickness of the photoresist itself). In addition,
nanoimprint lithography Nanoimprint lithography (NIL) is a method of fabricating nanometer scale patterns. It is a simple nanolithography process with low cost, high throughput and high resolution. It creates patterns by mechanical deformation of imprint resist and subse ...
may revive interest in this familiar technique, especially since the cost of ownership is expected to be low; however, the shortcomings of contact printing discussed above remain as challenges.


Projection

Very-large-scale integration (VLSI) lithography uses projection systems. Unlike contact or proximity masks, which cover an entire wafer, projection masks (known as "reticles") show only one die or an array of dies (known as a "field"). Projection exposure systems (steppers or scanners) project the mask onto the wafer many times to create the complete pattern. The difference between steppers and scanners is that, during exposure, a scanner moves the photomask and the wafer simultaneously, while a stepper only moves the wafer. Mask aligners preceded steppers and do not move the photomask nor the wafer during exposure.
Immersion lithography Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits (ICs) that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive inde ...
scanners use a layer of Ultrapure water between the lens and the wafer to increase resolution. An alternative to photolithography is
nanoimprint lithography Nanoimprint lithography (NIL) is a method of fabricating nanometer scale patterns. It is a simple nanolithography process with low cost, high throughput and high resolution. It creates patterns by mechanical deformation of imprint resist and subse ...
.


Photomasks

The image for the mask originates from a computerized data file. This data file is converted to a series of polygons and written onto a square of fused quartz substrate covered with a layer of
chromium Chromium is a chemical element with the symbol Cr and atomic number 24. It is the first element in group 6. It is a steely-grey, lustrous, hard, and brittle transition metal. Chromium metal is valued for its high corrosion resistance and hard ...
using a photolithographic process. A laser beam (laser writer) or a beam of electrons (e-beam writer) is used to expose the pattern defined by the data file and travels over the surface of the substrate in either a vector or raster scan manner. Where the photoresist on the mask is exposed, the chrome can be etched away, leaving a clear path for the illumination light in the stepper/scanner system to travel through.


Resolution in projection systems

The ability to project a clear image of a small feature onto the wafer is limited by the
wavelength In physics, the wavelength is the spatial period of a periodic wave—the distance over which the wave's shape repeats. It is the distance between consecutive corresponding points of the same phase on the wave, such as two adjacent crests, tr ...
of the light that is used, and the ability of the reduction lens system to capture enough diffraction orders from the illuminated mask. Current state-of-the-art photolithography tools use deep ultraviolet (DUV) light from
excimer laser An excimer laser, sometimes more correctly called an exciplex laser, is a form of ultraviolet laser which is commonly used in the production of microelectronic devices, semiconductor based integrated circuits or "chips", eye surgery, and microm ...
s with wavelengths of 248 (KrF) and 193 (ArF) nm (the dominant lithography technology today is thus also called "excimer laser lithography"), which allow minimum feature sizes down to 50 nm. Excimer laser lithography has thus played a critical role in the continued advance of the
Moore's Law Moore's law is the observation that the number of transistors in a dense integrated circuit (IC) doubles about every two years. Moore's law is an observation and projection of a historical trend. Rather than a law of physics, it is an empi ...
for the last 20 years (see belowLa Fontaine, B., "Lasers and Moore's Law", SPIE Professional, Oct. 2010, p. 20; http://spie.org/x42152.xml). The minimum feature size that a projection system can print is given approximately by: :CD = k_1 \cdot\frac where \,CD is the minimum feature size (also called the critical dimension, target design rule, or "half-pitch"). \,k_1 (commonly called ''k1 factor'') is a coefficient that encapsulates process-related factors, and typically equals 0.4 for production. The minimum feature size can be reduced by decreasing this coefficient through
computational lithography Computational lithography (also known as computational scaling) is the set of mathematical and algorithmic approaches designed to improve the resolution attainable through photolithography. Computational lithography has come to the forefront of ...
. \,\lambda is the wavelength of light used \,NA is the
numerical aperture In optics, the numerical aperture (NA) of an optical system is a dimensionless number that characterizes the range of angles over which the system can accept or emit light. By incorporating index of refraction in its definition, NA has the proper ...
of the lens as seen from the wafer According to this equation, minimum feature sizes can be decreased by decreasing the wavelength, and increasing the numerical aperture (to achieve a tighter focused beam and a smaller spot size). However, this design method runs into a competing constraint. In modern systems, the depth of focus is also a concern: :D_F = k_2 \cdot\frac Here, \,k_2 is another process-related coefficient. The depth of focus restricts the thickness of the photoresist and the depth of the topography on the wafer.
Chemical mechanical polishing Chemical mechanical polishing (CMP) or planarization is a process of smoothing surfaces with the combination of chemical and mechanical forces. It can be thought of as a hybrid of chemical etching and free abrasive polishing. Description The proc ...
is often used to flatten topography before high-resolution lithographic steps. From classical optics, k1=0.61 by the Rayleigh criterion. The image of two points separated by less than 1.22 wavelength/NA will not maintain that separation but will be larger due to the interference between the Airy discs of the two points. It must also be remembered, though, that the distance between two features can also change with defocus. Resolution is also nontrivial in a two-dimensional context. For example, a tighter line pitch results in wider gaps (in the perpendicular direction) between the ends of the lines. More fundamentally, straight edges become rounded for shortened rectangular features, where both x and y pitches are near the resolution limit. For advanced nodes, blur, rather than wavelength, becomes the key resolution-limiting factor. Minimum pitch is given by blur sigma/0.14. Blur is affected by dose as well as quantum yield, leading to a tradeoff with stochastic defects, in the case of EUV.


Stochastic effects

As light consists of
photons A photon () is an elementary particle that is a quantum of the electromagnetic field, including electromagnetic radiation such as light and radio waves, and the force carrier for the electromagnetic force. Photons are Massless particle, massless ...
, at low doses the image quality ultimately depends on the photon number. This affects the use of
extreme ultraviolet lithography Extreme ultraviolet lithography (also known as EUV or EUVL) is an optical lithography technology used in steppers, machines that make integrated circuits (ICs) for computers and other electronic devices. It uses a range of extreme ultraviolet (EU ...
or EUVL, which is limited to the use of low doses on the order of 20 photons/nm2. This is due to fewer photons for the same energy dose for a shorter wavelength (higher energy per photon). With fewer photons making up the image, there is noise in the edge placement. The stochastic effects would become more complicated with larger pitch patterns with more diffraction orders and using more illumination source points.


Light sources

Historically, photolithography has used ultraviolet light from
gas-discharge lamp Gas-discharge lamps are a family of artificial light sources that generate light by sending an electric discharge through an ionized gas, a plasma. Typically, such lamps use a noble gas (argon, neon, krypton, and xenon) or a mixture of t ...
s using mercury, sometimes in combination with noble gases such as
xenon Xenon is a chemical element with the symbol Xe and atomic number 54. It is a dense, colorless, odorless noble gas found in Earth's atmosphere in trace amounts. Although generally unreactive, it can undergo a few chemical reactions such as the ...
. These lamps produce light across a broad spectrum with several strong peaks in the ultraviolet range. This spectrum is filtered to select a single
spectral line A spectral line is a dark or bright line in an otherwise uniform and continuous spectrum, resulting from emission or absorption of light in a narrow frequency range, compared with the nearby frequencies. Spectral lines are often used to ident ...
. From the early 1960s through the mid-1980s, Hg lamps had been used in lithography for their spectral lines at 436 nm ("g-line"), 405 nm ("h-line") and 365 nm ("i-line"). However, with the semiconductor industry's need for both higher resolution (to produce denser and faster chips) and higher throughput (for lower costs), lamp-based lithography tools were no longer able to meet the industry's high-end requirements. This challenge was overcome in 1982 when
excimer laser An excimer laser, sometimes more correctly called an exciplex laser, is a form of ultraviolet laser which is commonly used in the production of microelectronic devices, semiconductor based integrated circuits or "chips", eye surgery, and microm ...
lithography was proposed and demonstrated at IBM by Kanti Jain. Excimer laser lithography machines (steppers and scanners) became the primary tools in microelectronics production, and has enabled minimum features sizes in chip manufacturing to shrink from 800 nanometers in 1990 to 7 nanometers in 2018.Samsung Starts Industry's First Mass Production of System-on-Chip with 10-Nanometer FinFET Technology; https://news.samsung.com/global/samsung-starts-industrys-first-mass-production-of-system-on-chip-with-10-nanometer-finfet-technology From an even broader scientific and technological perspective, in the 50-year history of the laser since its first demonstration in 1960, the invention and development of excimer laser lithography has been recognized as a major milestone. The commonly used deep ultraviolet
excimer laser An excimer laser, sometimes more correctly called an exciplex laser, is a form of ultraviolet laser which is commonly used in the production of microelectronic devices, semiconductor based integrated circuits or "chips", eye surgery, and microm ...
s in lithography systems are the krypton fluoride (KrF) laser at 248 nm wavelength and the argon fluoride laser (ArF) at 193 nm wavelength. The primary manufacturers of excimer laser light sources in the 1980s were Lambda Physik (now part of Coherent, Inc.) and Lumonics. Since the mid-1990s Cymer Inc. has become the dominant supplier of excimer laser sources to the lithography equipment manufacturers, with Gigaphoton Inc. as their closest rival. Generally, an excimer laser is designed to operate with a specific gas mixture; therefore, changing wavelength is not a trivial matter, as the method of generating the new wavelength is completely different, and the absorption characteristics of materials change. For example, air begins to absorb significantly around the 193 nm wavelength; moving to sub-193 nm wavelengths would require installing vacuum pump and purge equipment on the lithography tools (a significant challenge). An inert gas atmosphere can sometimes be used as a substitute for a vacuum, to avoid the need for hard plumbing. Furthermore, insulating materials such as
silicon dioxide Silicon dioxide, also known as silica, is an oxide of silicon with the chemical formula , most commonly found in nature as quartz and in various living organisms. In many parts of the world, silica is the major constituent of sand. Silica is one ...
, when exposed to photons with energy greater than the band gap, release free electrons and holes which subsequently cause adverse charging. Optical lithography has been extended to feature sizes below 50 nm using the 193 nm ArF excimer laser and liquid immersion techniques. Also termed
immersion lithography Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits (ICs) that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive inde ...
, this enables the use of optics with numerical apertures exceeding 1.0. The liquid used is typically ultra-pure, deionised water, which provides for a
refractive index In optics, the refractive index (or refraction index) of an optical medium is a dimensionless number that gives the indication of the light bending ability of that medium. The refractive index determines how much the path of light is bent, ...
above that of the usual air gap between the lens and the wafer surface. The water is continually circulated to eliminate thermally-induced distortions. Water will only allow ''NAs of up to ~1.4, but fluids with higher refractive indices would allow the effective ''NA'' to be increased further. Experimental tools using the 157 nm wavelength from the F2 excimer laser in a manner similar to current exposure systems have been built. These were once targeted to succeed 193 nm lithography at the 65 nm feature size node but have now all but been eliminated by the introduction of immersion lithography. This was due to persistent technical problems with the 157 nm technology and economic considerations that provided strong incentives for the continued use of 193 nm excimer laser lithography technology. High-index immersion lithography is the newest extension of 193 nm lithography to be considered. In 2006, features less than 30 nm were demonstrated by IBM using this technique. UV excimer lasers have been demonstrated to about 126 nm (for Ar2*). Mercury arc lamps are designed to maintain a steady DC current of 50 to 150 Volts, however excimer lasers have a higher resolution. Excimer lasers are gas-based light systems that are usually filled with inert and halide gases (Kr, Ar, Xe, F and Cl) that are charged by an electric field. The higher the frequency, the greater the resolution of the image. KrF lasers are able to function at a frequency of 4 kHz . In addition to running at a higher frequency, excimer lasers are compatible with more advanced machines than mercury arc lamps are. They are also able to operate from greater distances (up to 25 meters) and are able to maintain their accuracy with a series of mirrors and antireflective-coated lenses. By setting up multiple lasers and mirrors, the amount of energy loss is minimized, also since the lenses are coated with antireflective material, the light intensity remains relatively the same from when it left the laser to when it hits the wafer. Lasers have been used to indirectly generate non-coherent extreme UV (EUV) light at 13.5 nm for
extreme ultraviolet lithography Extreme ultraviolet lithography (also known as EUV or EUVL) is an optical lithography technology used in steppers, machines that make integrated circuits (ICs) for computers and other electronic devices. It uses a range of extreme ultraviolet (EU ...
. The EUV light is not emitted by the laser, but rather by a tin or xenon plasma which is excited by an excimer or laser. Fabrication of feature sizes of 10 nm has been demonstrated in production environments, but not yet at rates needed for commercialization. However, this is expected by 2016. This technique does not require a synchrotron, and EUV sources, as noted, do not produce coherent light. However vacuum systems and a number of novel technologies (including much higher EUV energies than are now produced) are needed to work with UV at the edge of the X-ray spectrum (which begins at 10 nm). As of 2020, EUV is in mass production use by leading edge foundries such as TSMC and Samsung. Theoretically, an alternative light source for photolithography, especially if and when wavelengths continue to decrease to extreme UV or X-ray, is the free-electron laser (or one might say xaser for an X-ray device). Free-electron lasers can produce high quality beams at arbitrary wavelengths. Visible and infrared femtosecond lasers were also applied for lithography. In that case photochemical reactions are initiated by multiphoton absorption. Usage of these light sources have a lot of benefits, including possibility to manufacture true 3D objects and process non-photosensitized (pure) glass-like materials with superb optical resiliency.


Experimental methods

Photolithography has been defeating predictions of its demise for many years. For instance, by the early 1980s, many in the semiconductor industry had come to believe that features smaller than 1 micron could not be printed optically. Modern techniques using excimer laser lithography already print features with dimensions a fraction of the wavelength of light used – an amazing optical feat. New techniques such as
immersion lithography Immersion lithography is a photolithography resolution enhancement technique for manufacturing integrated circuits (ICs) that replaces the usual air gap between the final lens and the wafer surface with a liquid medium that has a refractive inde ...
, dual-tone resist and
multiple patterning Multiple patterning (or multi-patterning) is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density. It is expected to be necessary for the 10 nm and 7 nm node se ...
continue to improve the resolution of 193 nm lithography. Meanwhile, current research is exploring alternatives to conventional UV, such as electron beam lithography,
X-ray lithography X-ray lithography is a process used in semiconductor device fabrication industry to selectively remove parts of a thin film of photoresist. It uses X-rays to transfer a geometric pattern from a mask to a light-sensitive chemical photoresist, o ...
,
extreme ultraviolet lithography Extreme ultraviolet lithography (also known as EUV or EUVL) is an optical lithography technology used in steppers, machines that make integrated circuits (ICs) for computers and other electronic devices. It uses a range of extreme ultraviolet (EU ...
and
ion projection lithography Ion-beam lithography is the practice of scanning a focused beam of ions in a patterned fashion across a surface in order to create very small structures such as integrated circuits or other nanostructures. Details Ion-beam lithography has been f ...
. Extreme ultraviolet lithography is in mass production use as of 2020 by Samsung.


Economy

In 2001
NIST The National Institute of Standards and Technology (NIST) is an agency of the United States Department of Commerce whose mission is to promote American innovation and industrial competitiveness. NIST's activities are organized into physical sci ...
publication has reported that photolithography process constituted about 35% of total cost of a wafer processing costs.


See also

* Dip-pen nanolithography *
Soft lithography In technology, soft lithography is a family of techniques for fabricating or replicating structures using "elastomeric stamps, molds, and conformable photomasks". It is called "soft" because it uses elastomeric materials, most notably PDMS. S ...
*
Magnetolithography Magnetolithography (ML) is a photoresist-less and photomaskless lithography method for patterning wafer surfaces. ML based on applying a magnetic field on the substrate using paramagnetic metal masks named "magnetic mask" placed on either topside ...
*
Nanochannel glass materials Nanochannel glass materials are an experimental mask technology that is an alternate method for fabricating nanostructures, although optical lithography is the predominant patterning technique. * Proceedings of the NATO Advanced Research Worksh ...
* Stereolithography, a macroscale process used to produce three-dimensional shapes *
Wafer foundry In the microelectronics industry, a semiconductor fabrication plant (commonly called a fab; sometimes foundry) is a factory where devices such as integrated circuits are manufactured. Fabs require many expensive devices to function. Estimates ...
*
Chemistry of photolithography Photolithography is a process in removing select portions of thin films used in microfabrication. Microfabrication is the production of parts on the micro- and nano- scale, typically on the surface of silicon wafers, for the production of inte ...
*
Computational lithography Computational lithography (also known as computational scaling) is the set of mathematical and algorithmic approaches designed to improve the resolution attainable through photolithography. Computational lithography has come to the forefront of ...
*
ASML Holding ASML Holding N.V. (commonly shortened to ASML, originally standing for Advanced Semiconductor Materials Lithography) is a Dutch multinational corporation founded in 1984. ASML specializes in the development and manufacturing of photolithograph ...
* Alvéole Lab *
Semiconductor device fabrication Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) chips such as modern computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are ...


References


External links


BYU Photolithography Resources


an overview of lithography

{sndIBM site with lithography-related articles Lithography (microfabrication) Microtechnology