HOME

TheInfoList



OR:

This page is a comparison of
electronic design automation Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing electronic systems such as integrated circuits and printed circuit boards. The tools work togeth ...
(EDA) software which is used today to design the near totality of electronic devices. Modern electronic devices are too complex to be designed without the help of a computer. Electronic devices may consist of
integrated circuits An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tin ...
(ICs),
printed circuit boards A printed circuit board (PCB; also printed wiring board or PWB) is a medium used in electrical and electronic engineering to connect electronic components to one another in a controlled manner. It takes the form of a laminated sandwich struc ...
(PCBs),
field programmable gate array A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturinghence the term '' field-programmable''. The FPGA configuration is generally specified using a hardware ...
s (FPGAs) or a combination of them. Integrated circuits may consist of a combination of digital and analog circuits. These circuits can contain a combination of transistors, resistors, capacitors or specialized components such as analog neural networks, antennas or fuses. The design of each of these electronic devices generally proceeds from a high- to a low-level of abstraction. For FPGAs the low-level description consists of a binary file to be flashed into the gate array, while for an integrated circuit the low-level description consists of a layout file which describes the masks to be used for lithography inside a foundry. Each design step requires specialized tools, and many of these tools can be used for designing multiple types of electronic circuits. For example, a program for high-level digital synthesis can usually be used both for IC digital design as well as for programming an FPGA. Similarly, a tool for schematic-capture and analog simulation can generally be used both for IC analog design and for PCB design. In the case of
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
s (ICs) for example, a single chip may contain today more than 20 billion transistors (which is more than two transistors for every human on Earth) and, as a general rule, every single transistor in a chip must work as intended. Since a single VLSI
mask set A photomask is an opaque plate with holes or transparencies that allow light to shine through in a defined pattern. They are commonly used in photolithography and the production of integrated circuits (ICs or "chips") in particular. Masks are used ...
can cost up to 10-100 millions,
trial and error Trial and error is a fundamental method of problem-solving characterized by repeated, varied attempts which are continued until success, or until the practicer stops trying. According to W.H. Thorpe, the term was devised by C. Lloyd Morgan (18 ...
approaches are not economically viable. To minimize the risk of any design mistakes, the design flow is heavily automatized. EDA software assists the designer in every step of the design process and every design step is accompanied by heavy test phases. Errors may be present in the high-level code already, such as for the Pentium FDIV floating-point unit bug, or it can be inserted all the way down to physical synthesis, such as a missing wire, or a timing violation.


Comparison of proprietary EDA software


Mainstream EDA software bundles for ICs design

The world of
electronic design automation Electronic design automation (EDA), also referred to as electronic computer-aided design (ECAD), is a category of software tools for designing electronic systems such as integrated circuits and printed circuit boards. The tools work togeth ...
(EDA) software for
integrated circuit An integrated circuit or monolithic integrated circuit (also referred to as an IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. Large numbers of tiny ...
(IC) design is dominated by the three vendors
Synopsys Synopsys is an American electronic design automation (EDA) company that focuses on silicon design and verification, silicon intellectual property and software security and quality. Products include tools for logic synthesis and physical de ...
,
Cadence Design Systems Cadence Design Systems, Inc. (stylized as cādence), headquartered in San Jose, California, is an American multinational computational software company, founded in 1988 by the merger of SDA Systems and ECAD, Inc. The company produces software, ...
and
Mentor Graphics Siemens EDA is a US-based electronic design automation (EDA) multinational corporation for electrical engineering and electronics, headquartered in Wilsonville, Oregon. Founded in 1981 as Mentor Graphics, the company was acquired by Siemens i ...
which have a revenue respectively of 4,2 billion US$, 3 billion US$ and 1,3 billion US$. Mentor Graphics has been acquired in 2017 by
Siemens Siemens AG ( ) is a German multinational conglomerate corporation and the largest industrial manufacturing company in Europe headquartered in Munich with branch offices abroad. The principal divisions of the corporation are ''Industry'', ''E ...
. These vendors offer software bundles which allow to cover the full spectrum of IC design, from HDL synthesis to physical synthesis and verification. The development of EDA software is tightly connected with the development of technology nodes. The properties of a specific semiconductor foundry, such as the transistor models, the physical characteristics and the design rules, are usually encoded in file formats which are proprietary to one or more EDA vendors. This set of files constitutes the
process design kit A process design kit (PDK) is a set of files used within the semiconductor industry to model a fabrication process for the design tools used to design an integrated circuit. The PDK is created by the foundry defining a certain technology variation f ...
(PDK) and it is usually developed as a joint effort between the foundry and an EDA vendor. Foundries therefore usually release PDKs which are compatible only for one specific EDA bundle. The information contained inside PDKs is usually considered confidential. PDKs are therefore usually protected by
non disclosure agreement A non-disclosure agreement (NDA) is a legal contract or part of a contract between at least two parties that outlines confidential material, knowledge, or information that the parties wish to share with one another for certain purposes, but wish ...
s (NDAs) and may be shipped in an incomplete or in an encrypted form to the designers.


Proprietary software for electrical simulation (analog/mixed-signal/electromagnetic)


Comparison of proprietary software for PCB design


Comparison of free software EDA tools


Free software EDA bundles for IC design

Free and open-source EDA software bundles are currently under fast development mainly thanks to the
DARPA The Defense Advanced Research Projects Agency (DARPA) is a research and development agency of the United States Department of Defense responsible for the development of emerging technologies for use by the military. Originally known as the A ...
and
Google Google LLC () is an American Multinational corporation, multinational technology company focusing on Search Engine, search engine technology, online advertising, cloud computing, software, computer software, quantum computing, e-commerce, ar ...
s openROAD project. The OpenROAD project offers a complete stack of tools from high-level synthesis down to layout generation The flow includes Yosys for logic synthesis, OpenLane for physical synthesis and targets the SkyWater 130nm PDK. The flow is currently utilized to submit design for gratis fabrication at
Google Google LLC () is an American Multinational corporation, multinational technology company focusing on Search Engine, search engine technology, online advertising, cloud computing, software, computer software, quantum computing, e-commerce, ar ...
.


Free software for high-level synthesis

High-level synthesis software can generally be used for the design of both
application-specific integrated circuit An application-specific integrated circuit (ASIC ) is an integrated circuit (IC) chip customized for a particular use, rather than intended for general-purpose use, such as a chip designed to run in a digital voice recorder or a high-effici ...
s (ASICs) and
field-programmable gate array A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturinghence the term ''Field-programmability, field-programmable''. The FPGA configuration is generally specifi ...
s (FPGAs). Most high-level synthesis software is used to edit and verify code written in one of the mainstream
hardware description language In computer engineering, a hardware description language (HDL) is a specialized computer language used to describe the structure and behavior of electronic circuits, and most commonly, digital logic circuits. A hardware description language en ...
s (HDL) like
VHDL The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gate ...
or Verilog. Other tools instead operate at a higher level of abstraction and allow to synthesize HDL code starting from languages like
Chisel A chisel is a tool with a characteristically shaped cutting edge (such that wood chisels have lent part of their name to a particular grind) of blade on its end, for carving or cutting a hard material such as wood, stone, or metal by hand, s ...
or SpinalHDL. The higher abstraction of such languages enables
formal verification In the context of hardware and software systems, formal verification is the act of proving or disproving the correctness of intended algorithms underlying a system with respect to a certain formal specification or property, using formal met ...
of HDL code.


Free software for IC physical synthesis and layout

This list does not include schematic editors or simulators since these can generally be used both for Integrated Circuits (ICs) and for Printed Circuit Board (PCB) as long as device models are available.


Free software for schematic editing and analog/mixed-signal simulation


Free software for PCB design


See also

* Electronic Design Automation (EDA) * List of EDA companies * List of free electronics circuit simulators * Schematic editor *
SPICE A spice is a seed, fruit, root, bark, or other plant substance primarily used for flavoring or coloring food. Spices are distinguished from herbs, which are the leaves, flowers, or stems of plants used for flavoring or as a garnish. Spices a ...
, a general purpose
analog circuit Analogue electronics ( en-US, analog electronics) are electronic systems with a continuously variable signal, in contrast to digital electronics where signals usually take only two levels. The term "analogue" describes the proportional relat ...
simulator A simulation is the imitation of the operation of a real-world process or system over time. Simulations require the use of models; the model represents the key characteristics or behaviors of the selected system or process, whereas the s ...
. *
TopoR TopoR (''Topo''logical ''R''outer) is an EDA program developed and maintained by the Russian company Eremex. It is dedicated to laying out a printed circuit board (PCB). The current version is 6.3.17875 as of 2017-09-20. It features a powerful ...


References

{{DEFAULTSORT:Comparison Of Eda Software * * Software comparisons