HOME
*



picture info

Semiconductor Foundry
In the microelectronics industry, a semiconductor fabrication plant (commonly called a fab; sometimes foundry) is a factory where devices such as integrated circuits are manufactured. Fabs require many expensive devices to function. Estimates put the cost of building a new fab over one billion U.S. dollars with values as high as $3–4 billion not being uncommon. TSMC invested $9.3 billion in its ''Fab15'' 300 mm wafer manufacturing facility in Taiwan. The same company estimations suggest that their future fab might cost $20 billion. A foundry model emerged in the 1990s: Foundries that produced their own designs were known as integrated device manufacturers (IDMs). Companies that farmed out manufacturing of their designs to foundries were termed fabless semiconductor companies. Those foundries, which did not create their own designs, were called pure-play semiconductor foundries. The central part of a fab is the clean room, an area where the environment is controlled ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  




Aerial Photograph Of Globalfoundries Dresden
Aerial may refer to: Music * ''Aerial'' (album), by Kate Bush * ''Aerials'' (song), from the album ''Toxicity'' by System of a Down Bands *Aerial (Canadian band) *Aerial (Scottish band) * Aerial (Swedish band) Performance art *Aerial silk, apparatus used in aerial acrobatics *Aerialist, an acrobat who performs in the air Recreation and sport *Aerial (dance move) *Aerial (skateboarding) *Aerial adventure park, ropes course with a recreational purpose * Aerial cartwheel (or side aerial), gymnastics move performed in acro dance and various martial arts * Aerial skiing, discipline of freestyle skiing *Front aerial, gymnastics move performed in acro dance Technology Antennas *Aerial (radio), a radio ''antenna'' or transducer that transmits or receives electromagnetic waves **Aerial (television), an over-the-air television reception antenna Mechanical *Aerial fire apparatus, for firefighting and rescue *Aerial work platform, for positioning workers Optical *Aerial ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


Wafer Dicing
In the context of manufacturing integrated circuits, wafer dicing is the process by which die are separated from a wafer of semiconductor following the processing of the wafer. The dicing process can involve scribing and breaking, mechanical sawing (normally with a machine called a ''dicing saw'') or laser cutting. All methods are typically automated to ensure precision and accuracy. Following the dicing process the individual silicon chips are encapsulated into chip carriers which are then suitable for use in building electronic devices such as computers, etc. During dicing, wafers are typically mounted on dicing tape which has a sticky backing that holds the wafer on a thin sheet metal frame. Dicing tape has different properties depending on the dicing application. UV curable tapes are used for smaller sizes and non-UV dicing tape for larger die sizes. Dicing saws may use a dicing blade with diamond particles, rotating at 30,000 RPM and cooled with deionized water. Once ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


Semiconductor Consolidation
Semiconductor consolidation is the trend of semiconductor companies collaborating in order to come to a practical synergy with the goal of being able to operate in a business model that can sustain profitability. History Since the rapid adoption of the modern day chip in the 1960s, most companies involved in producing semiconductors were extremely vertically integrated. Semiconductor companies owned and operated their own fabrication plants and also the processing technologies that facilitated the creation of the chips. Research, design, testing, production, and manufacturing were all kept "in house". Advances in the semiconductor industry made the market extremely competitive and companies began to use a technology roadmap that helped set goals for the industry. This roadmap came to be known as Moore's Law, a statistical trend seen by Intel's co-founder Gordon Moore in which the number of transistors on an integrated circuit is doubled approximately every 2 years. This increa ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


Rock's Law
Rock's law or Moore's second law, named for Arthur Rock or Gordon Moore, says that the cost of a semiconductor chip fabrication plant doubles every four years. As of 2015, the price had already reached about 14 billion US dollars. Rock's law can be seen as the economic flip side to Moore's (first) law – that the number of transistors in a dense integrated circuit doubles every two years. The latter is a direct consequence of the ongoing growth of the capital-intensive semiconductor industry— innovative and popular products mean more profits, meaning more capital available to invest in ever higher levels of large-scale integration, which in turn leads to the creation of even more innovative products. The semiconductor industry has always been extremely capital-intensive, with ever-dropping manufacturing unit costs. Thus, the ultimate limits to growth of the industry will constrain the maximum amount of capital that can be invested in new products; at some point, Rock's Law ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  




List Of Semiconductor Fabrication Plants
This is a list of semiconductor fabrication plants. A semiconductor fabrication plant is where integrated circuits (ICs), also known as microchips, are manufactured. They are either operated by Integrated Device Manufacturers (IDMs) who design and manufacture ICs in-house and may also manufacture designs from design-only ( fabless firms), or by Pure Play foundries, that manufacture designs from fabless companies and do not design their own ICs. Some Pure Play foundries like TSMC offer IC design services, and others, like Samsung, design and manufacture ICs for customers, while also designing, manufacturing and selling their own ICs. Glossary of terms * Wafer size – largest wafer diameter that a facility is capable of processing. (Semiconductor wafers are circular.) * Process technology node – size of the smallest features that the facility is capable of etching onto the wafers. * Production capacity – a manufacturing facility's nameplate capacity. Generally max wafers ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

Klaiber's Law
{{distinguish, Kleiber's law Simply stated, Klaiber's law proposes that "''the silicon wafer size will dictate the largest diameter of ultrapure water supply piping needed within a semiconductor wafer factory.''" Ultrapure water (UPW) is used extensively in the fabrication steps of making computer microchips. These microchips are fabricated on round, thin substrates of silicon, called wafer. Since the 1990s the pipes, fittings and valves used to carry the UPW have increased dramatically in diameter and consequently, so has the delivered volume, or gallons per minute usage. Polyvinylidene fluoride Polyvinylidene fluoride or polyvinylidene difluoride (PVDF) is a highly non-reactive thermoplastic fluoropolymer produced by the polymerization of vinylidene difluoride. PVDF is a specialty plastic used in applications requiring the highest pur ... (PVDF) has been the material of choice for the larger conduits to convey the UPW. PVDF is also referenced in ASTM D 5127 – 07 ''Standa ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


Foundry Model
The foundry model is a microelectronics engineering and manufacturing business model consisting of a semiconductor fabrication plant, or foundry, and an integrated circuit design operation, each belonging to separate companies or subsidiaries. Integrated device manufacturers (IDMs) design and manufacture integrated circuits. Many companies, known as fabless semiconductor companies, only design devices; merchant or pure play foundries only manufacture devices for other companies, without designing them. Examples of IDMs are Intel, Samsung, and Texas Instruments, examples of fabless companies are AMD, Nvidia, and Qualcomm, and examples of pure play foundries are GlobalFoundries, TSMC, and UMC. Integrated circuit production facilities are expensive to build and maintain. Unless they can be kept at nearly full use, they will become a drain on the finances of the company that owns them. The foundry model uses two methods to avoid these costs: fabless companies avoid costs by not o ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


SEMATECH
SEMATECH (from Semiconductor Manufacturing Technology) is a not-for-profit consortium that performs research and development to advance chip manufacturing. SEMATECH has broad engagement with various sectors of the R&D community, including chipmakers, equipment and material suppliers, universities, research institutes, and government partners. The group is funded by member dues. History SEMATECH was conceived in 1986, formed in 1987, and began operating in Austin, Texas in 1988 as a partnership between the United States government and 14 U.S.-based semiconductor manufacturers to solve common manufacturing problems and regain competitiveness for the U.S. semiconductor industry that had been surpassed by Japanese industry in the mid-1980s. SEMATECH was funded over five years by public subsidies coming from the U.S. Department of Defense via the Defense Advanced Research Projects Agency (DARPA) for a total of $500 million. Following a determination by SEMATECH Board of Directors ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


Lights Out (manufacturing)
Lights-out manufacturing is a manufacturing methodology (or philosophy), not a specific process. Factories that employ "lights-out manufacturing" are fully automated and require no human presence on-site. These factories are considered to be able to run "with the lights off." Many factories are capable of lights-out production, but few run exclusively lights-out. Typically, in computer numerical control (CNC) machining, workers are necessary to set up tombstones that hold parts to be manufactured and remove completed parts. As the technology necessary for total automation becomes increasingly available, many factories are beginning to use lights-out production between shifts (or as a separate shift) to meet increasing production demand or to save money on labor. Going forward this concept of automation will become more popular and can support human beings. An automatic factory is a place where raw materials enter, and finished products leave with little or no human intervention. ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

Intel
Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California. It is the world's largest semiconductor chip manufacturer by revenue, and is one of the developers of the x86 series of instruction sets, the instruction sets found in most personal computers (PCs). Incorporated in Delaware, Intel ranked No. 45 in the 2020 ''Fortune'' 500 list of the largest United States corporations by total revenue for nearly a decade, from 2007 to 2016 fiscal years. Intel supplies microprocessors for computer system manufacturers such as Acer, Lenovo, HP, and Dell. Intel also manufactures motherboard chipsets, network interface controllers and integrated circuits, flash memory, graphics chips, embedded processors and other devices related to communications and computing. Intel (''int''egrated and ''el''ectronics) was founded on July 18, 1968, by semiconductor pioneers Gordon Moore (of Moore's law) and Robert Noy ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

International Technology Roadmap For Semiconductors
The International Technology Roadmap for Semiconductors (ITRS) is a set of documents produced by a group of semiconductor industry experts. These experts are representative of the sponsoring organisations which include the Semiconductor Industry Associations of Taiwan, South Korea, the United States, Europe, Japan, and China. As of 2017, ITRS is no longer being updated. Its successor is the International Roadmap for Devices and Systems. The documents carried disclaimer: "The ITRS is devised and intended for technology assessment only and is without regard to any commercial considerations pertaining to individual products or equipment". The documents represent best opinion on the directions of research and time-lines up to about 15 years into the future for the following areas of technology: History Constructing an integrated circuit, or any semiconductor device, requires a series of operations—photolithography, etching, metal deposition, and so on. As the industry evolved, ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]  


picture info

Wafer (electronics)
In electronics, a wafer (also called a slice or substrate) is a thin slice of semiconductor, such as a crystalline silicon (c-Si), used for the fabrication of integrated circuits and, in photovoltaics, to manufacture solar cells. The wafer serves as the substrate for microelectronic devices built in and upon the wafer. It undergoes many microfabrication processes, such as doping, ion implantation, etching, thin-film deposition of various materials, and photolithographic patterning. Finally, the individual microcircuits are separated by wafer dicing and packaged as an integrated circuit. History In the semiconductor or silicon wafer industry, the term wafer appeared in the 1950s to describe a thin round slice of semiconductor material, typically germanium or silicon. Round shape comes from single-crystal ingots usually produced using the Czochralski method. Silicon wafers were first introduced in the 1940s. By 1960, silicon wafers were being manufactured in the U. ...
[...More Info...]      
[...Related Items...]     OR:     [Wikipedia]   [Google]   [Baidu]